视频号
视频号
抖音号
抖音号
哔哩哔哩号
哔哩哔哩号
app
前沿资讯手机看

我要投稿

投稿请发送邮件至:weidy@instrument.com.cn

邮件标题请备注:投稿

联系电话:010-51654077-8129

二维码

我要投稿

投稿请发送邮件至:weidy@instrument.com.cn

邮件标题请备注:投稿

联系电话:010-51654077-8129

EUV光刻机“忙疯了”

分享到微信朋友圈

打开微信,点击底部的“发现”,

使用“扫一扫”即可将网页分享到朋友圈。

分享: 2024/06/05 18:02:43
导读: 据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。

据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。

当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。


推动摩尔定律关键因素:High NA EUV技术

据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。

ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。

ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。

据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。

此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。

IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。

光刻.png


先进制程竞争开战:光刻机“挺忙的”

在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。

从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。

这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。

值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。

三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。

三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。

三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。


[来源:全球半导体观察]

用户头像

作者:Jansky

总阅读量 6w+ 查看ta的文章

网友评论  0
为您推荐 精选资讯 最新资讯 新闻专题 更多推荐

版权与免责声明:

① 凡本网注明"来源:仪器信息网"的所有作品,版权均属于仪器信息网,未经本网授权不得转载、摘编或利用其它方式使用。已获本网授权的作品,应在授权范围内使用,并注明"来源:仪器信息网"。违者本网将追究相关法律责任。

② 本网凡注明"来源:xxx(非本网)"的作品,均转载自其它媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责,且不承担此类作品侵权行为的直接责任及连带责任。如其他媒体、网站或个人从本网下载使用,必须保留本网注明的"稿件来源",并自负版权等法律责任。

③ 如涉及作品内容、版权等问题,请在作品发表之日起两周内与本网联系,否则视为默认仪器信息网有权转载。

使用积分打赏TA的文章

到积分加油站,赚取更多积分

谢谢您的赞赏,您的鼓励是我前进的动力~

打赏失败了~

评论成功+4积分

评论成功,积分获取达到限制

收藏成功
取消收藏成功
点赞成功
取消点赞成功

投票成功~

投票失败了~