视频号
视频号
抖音号
抖音号
哔哩哔哩号
哔哩哔哩号
app
前沿资讯手机看

我要投稿

投稿请发送邮件至:weidy@instrument.com.cn

邮件标题请备注:投稿

联系电话:010-51654077-8129

二维码

我要投稿

投稿请发送邮件至:weidy@instrument.com.cn

邮件标题请备注:投稿

联系电话:010-51654077-8129

台积电最新工艺推进计划

分享到微信朋友圈

打开微信,点击底部的“发现”,

使用“扫一扫”即可将网页分享到朋友圈。

分享: 2022/07/11 14:38:52
导读: 在台积电2022年技术论坛上,台积电公布了7nm至2nm先进制程,以及包括射频/连网性、CMOS影像感测、MEMS和电源管理在内的特色工艺的现状与规划等。

在台积电2022年技术论坛上,台积电公布了7nm至2nm先进制程,以及包括射频/连网性、CMOS影像感测、MEMS和电源管理在内的特色工艺的现状与规划等。其中,2nm工艺将在2025年量产。

台积电表示,过去两年COVID-19加速了数字化转型,且随着电子装置变得更智能、更高度连接,面对更具智能性的边缘装置和大规模运算能力的需求,高能效、低能耗变得对边缘装置尤为重要,而结构性增⻓导致了先进和成熟工艺制程供不应求。因此,台积公司不断增加研发投资,以提供最好的技术,并持续扩大对先进工艺制程和成熟工艺制程产能的投资。

2nm工艺将在2025年量产

以稳定和可预测的速度提供领先业界的技术发展,强化每个工艺技术的性能、功耗和密度(PPA),同时保持设计规则的兼容性,以实现硅智财的再利用,是台积电在先进技术方面的目标。

b2c2cdea8cc94de1baca6f7375344e8c@wm.png

具体来看台积电的工艺推进计划:

7纳米家族:

台积公司采用N7和N6技术的客户产品组合不断扩大,从智能手机、CPU、GPU和XPU,延伸至射频和消费电子应用。2022年底以前,产品设计定案的累积数量将超过400。

5纳米家族:

台积公司的5纳米技术已经进入量产的第三年,支持智能手机、5G、AI、网络和高性能计算产业的产品应用。台积电将大量生产的经验不仅应用于良率的提高,还应用于性能、设计规则和芯片密度的提升。通过持续提升N5和N4技术,预计到今年年底将有超过150个产品设计定案。

目前,台积电已经将N4、N4P和N4X技术加入其5纳米家族,为即将到来的5纳米产品提供持续的PPA升级。据悉,从N5到N4X,性能提升了15%,芯片密度提高了6%,同时保持设计规则的兼容性,以实现设计再利用、更多功能和更佳的规格提升。

2021年,台积电推出了半导体技术针对汽⻋产业应用的升级N5A,计划在今年第三季通过AEC-Q100 Grade-2认证。

3纳米家族:

台积电3纳米工艺技术持续采用FinFET半导体结构,并认为此工艺的性能和技术成熟度将最能够满足产业的需求。N3工艺按计划顺利推进,将于2022年下半年量产,N3E将随后于2023年下半年量产。

今年,台积电在3纳米技术上推出了TSMC FINFLEXTM架构这一创新,它结合了工艺制程和设计的创新,提供了极致的设计弹性,从而优化高性能、低功耗或达到两者的平衡。它提供了显著的芯片设计优势和弹性,为产品创新提供了强大的平台。我们知道,减少鳍片数量对提升PPA至关重要。台积公司的FINFLEX创新是一个关键性的突破,通过混合不同的组件高度,在一个设计区块中实现不同的组件高度。

TSMC FINFLEX架构将3纳米家族技术的产品性能、功率效率和密度进一步提升,让芯片设计人员能够在相同的芯片上利用相同的设计工具来选择最佳的鳍结构支持每一个关键功能区块,分别有3-2鳍、2-2鳍、以及2-1鳍结构可供选择,其特性如下:

•3-2鳍-最快的频率和最高的效能,满足最高要求的运算需求

•2-2鳍-高效性能,在性能、功率效率和密度之间取得良好的平衡

•2-1鳍-超高的功效、最低的功耗、最低的漏电和最高的密度

FINFLEX创新使N3E实现了从N5的全一代微缩,为移动和HPC应用提供了完整的平台支持,并将具有更强的性能、功率和较低的工艺制程复杂性。

2纳米家族:

在过去的15年中,台积电一直在研究纳米片(nanosheet)晶体管,并相信N2是导入纳米片晶体管的合适工艺制程,将速度和功率提升全一代,协助客户保持竞争力。目前,N2的开发按计划顺利推进,预计于2025年量产。

在纳米片晶体管和设计技术协同优化(DTCO)的帮助下,台积电N2的性能和功率优势提升了一代。相较于N3E,在相同功耗下速度提升10-15%,或在相同速度下功耗降低25-30%。由于纳米片晶体管具有卓越的低Vdd性能,N2在正常Vdd及相同的功耗下,性能提高了15%,在较低的Vdd(0.55V)下,优势扩大到26%。

在N2之后

在未来,台积电对于N2之后的发展持乐观态度,特别是在新型晶体管结构、新材料、持续微缩和新导体材料方面的创新。

多年来,标准半导体架构的演变已经从平面式晶体管转至鳍式场效晶体管(FinFET),并将再次发展到纳米片晶体管。甚至在纳米片之外,台积电看到包括CFET(垂直堆栈的nFET和pFET)在内的许多可能的方向。

除此之外,台积电还期待在2D材料、1D碳纳米管等方面的突破,在不断微缩的同时,克服芯片移动性方面的挑战。未来,台积公司将继续探索晶体管架构,并利用2D材料和碳纳米管等新材料。

为了解决关键工艺制程的间距缩小问题,台积电在N7+开始利用EUV曝光设备和多重曝刻技术。未来,台积电将在2024年引进High-NA EUV曝光设备,以开发客户所需的相关基础架构和曝刻解决方案,以支持创新。

写在最后

除了上述台积电在先进工艺上的推进发展,台积电在特殊工艺上同样投入诸多。据了解,近年来,台积电在特殊技术的投资的复合年增⻓率超过64%,几乎是过去投资速度的三倍。在接下来的几年内,预计会进一步扩增其特殊工艺产能。根据台积电预计,到2025年,特殊工艺产能增加近50%。

台积电持续通过智能化制造的创新,提高生产力和最大化产出。过去三年,台积电的资本支出增加了超过一倍,从2019年低于150亿美元,增加至2021年的300亿美元,再到2022年的400至440亿美元,为先进工艺制程、成熟工艺制程和3DFabric建置产能。

台积电在南京兴建的新的28纳米晶圆厂预计于今年第四季度开始量产。同时,其在美国亚利桑那州的晶圆厂正在兴建中,预计于2024年量产5纳米工艺。台积电还在日本熊本新建厂线并扩大规划产能,以提供12/16纳米和28纳米家族技术的晶圆制造服务,预计于2024年开始量产。

[来源:大半导体产业网]

标签: 台积电
用户头像

作者:KPC

总阅读量 140w+ 查看ta的文章

网友评论  0
为您推荐 精选资讯 最新资讯 新闻专题 更多推荐

版权与免责声明:

① 凡本网注明"来源:仪器信息网"的所有作品,版权均属于仪器信息网,未经本网授权不得转载、摘编或利用其它方式使用。已获本网授权的作品,应在授权范围内使用,并注明"来源:仪器信息网"。违者本网将追究相关法律责任。

② 本网凡注明"来源:xxx(非本网)"的作品,均转载自其它媒体,转载目的在于传递更多信息,并不代表本网赞同其观点和对其真实性负责,且不承担此类作品侵权行为的直接责任及连带责任。如其他媒体、网站或个人从本网下载使用,必须保留本网注明的"稿件来源",并自负版权等法律责任。

③ 如涉及作品内容、版权等问题,请在作品发表之日起两周内与本网联系,否则视为默认仪器信息网有权转载。

使用积分打赏TA的文章

到积分加油站,赚取更多积分

谢谢您的赞赏,您的鼓励是我前进的动力~

打赏失败了~

评论成功+4积分

评论成功,积分获取达到限制

收藏成功
取消收藏成功
点赞成功
取消点赞成功

投票成功~

投票失败了~