当前位置: 仪器信息网 > 行业主题 > >

光刻胶薄膜

仪器信息网光刻胶薄膜专题为您整合光刻胶薄膜相关的最新文章,在光刻胶薄膜专题,您不仅可以免费浏览光刻胶薄膜的资讯, 同时您还可以浏览光刻胶薄膜的相关资料、解决方案,参与社区光刻胶薄膜话题讨论。

光刻胶薄膜相关的资讯

  • 住友化学将提高先进半导体光刻胶的全球生产能力
    根据住友化学最新公告,住友化学已决定扩大其先进半导体工艺用光刻胶的生产能力。该公司将在其大阪工厂(日本大阪Konohana ku)安装额外的ArF(氟化氩)浸渍光刻和EUV(极紫外)光刻用光刻胶生产线,并在东宇精细化工有限公司(韩国的全资子公司)的Iksan工厂新建ArF浸渍光刻用光刻胶生产厂。大阪工厂的新生产线将于2023财年上半年开始运营,Iksan工厂将于2024财年上半年开始运营。光刻胶是半导体光刻工艺中使用的光敏树脂材料。住友化学以大阪工厂为运营中心,通过建立先进的产品设计技术和严格的质量保证体系,扩大了其业务,该体系基于其各种精细化工业务中培育的有机合成技术,同时也显示出其及时响应客户需求的强大能力。特别是,该公司用于ArF浸没式光刻的光刻胶,主要用于要求高精度的先进工艺,由于其卓越的性能和质量稳定性,在全球市场占有很大份额。东宇精细化工公司作为i-line和KrF(氟化氪)光刻胶的生产基地运营了二十多年,用于更宽的线宽处理。自2019财年以来,由于5G数据通信的普及,预计对先进光刻胶的需求将不断增长,住友化学已在大阪工厂分阶段提高产能,同时还采取措施加强其开发和质量保证体系,如建造新的洁净室。由于半导体市场预计将在更快、更高容量的数据通信的推动下继续增长,该公司决定在大阪工厂扩大先进光刻胶的生产能力,并基于业务连续性规划的角度,同时在东宇精细化工新建一座生产厂。通过实施这些措施,住友化学集团在2024财年的先进光刻胶生产能力将比2019财年增加2.5倍。为了进一步扩大光刻胶业务,公司将继续以灵活和积极的方式加强其供应能力,以满足强劲的需求,并提供稳定的高质量产品供应,以满足确切的市场需求。住友化学将对信息和通信技术(ICT)创新的贡献作为管理优先事项处理的重大问题之一。该公司通过扩展其整个半导体材料业务(包括光刻胶)继续为半导体行业的增长做出贡献,这对于实现智能移动和智能社会是不可或缺的,如日本的society 5.0。住友化学成立于1991年12月,主营业务是开发、制造和销售用于半导体、光刻胶、光学功能薄膜、滤色片、触摸屏面板和其他IT相关材料的加工化学品。
  • 华为投资3亿入股光刻胶企业
    8月10日,天眼查工商信息显示,徐州博康注册资本从7600.95万元增至8445.50万元,增幅11.11%,同时新增深圳哈勃科技投资合伙企业(有限合伙)(以下简称“深圳哈勃”)为股东。华懋科技2021年07月21日披露公告《华懋(厦门)新材料科技股份有限公司关于公司参与的合伙企业对外投资进展公告》,公告中提到的合格投资者确定为华为哈勃,根据公告披露的信息,华为哈勃此次投资金额为3亿元,投后持有徐州博康10%股份,上市公司华懋科技通过东阳凯阳科技创新发展合伙企业(有限合伙)间接持有徐州博康24%的股份。傅志伟通过上海博康企业集团有限公司直接和间接持有徐州博康39.43%股权,为公司实际控制人。此次投资也是华为哈勃历史上最大单笔半导体投资。作为深圳哈勃最新投资的半导体厂商,徐州博康在光刻胶领域可谓实力强劲。资料显示,徐州博康产品线涵盖193nm/248nm光刻胶单体、193nm/248nm光刻胶、G线/I线光刻胶、电子束光刻胶等产品。目前已成功开发出40+个中高端光刻胶产品系列,包括多种电子束胶、ArF干法光刻胶、KrF正负型光刻胶、I线正负型光刻胶及GHI超厚负胶。同时,徐州博康承担了国家“02专项”中的子课题“ArF光刻胶单体产品的开发与产业化”、国家产业振兴和技术改造项目、江苏省科技成果转化等项目。徐州博康曾先后与中科院微电子所建立了校企联盟,与复旦大学、加拿大UBC大学等高校科研机构合作建设了光刻材料研发实验室,成功研发出世界最先进的193纳米光刻胶单体并实现规模化生产,成为中国唯一的高端光刻胶单体材料研发和规模化生产企业。2021年6月,徐州博康新建年产1100吨光刻材料及1万吨电子溶剂新工厂投产。该项目全部达产后,可实现年产值20亿元,这也是中国目前第一个可以规模化生产中高端光刻胶的生产基地。对于华为哈勃在半导体光刻胶国产厂商中选择徐州博康的原因,业内人士指出,经历美国的制裁,华为认识到科技和高端产业都是有着明确的国界线的,中国的芯片产业链必须实现自主可控,国产替代势在必行。其中,不仅仅是设备、制造的自主可控,更重要且最难之处在于关键材料的自主可控,因为材料是耗材,如果美国后续要进一步打压中国的芯片产业链,只要限制关键领域原材料的供应就可以实现关停中国相关产业链的目的。以光刻胶为例,真正的自主可控不仅仅是成品光刻胶的合成与生产,还要确保上游的树脂、光酸、溶剂、添加剂甚至更上游的单体的自主可控,而博康就是这样一家公司,这也是华为看中的核心要点之一。徐州博康一家脚踏实地做科研与产业化的公司,一直非常低调,徐州博康在海外的知名度甚至要高于国内,如果不是上市公司华懋科技重点投资,市场上知晓的人是非常少的。据了解,博康是给海外光刻胶大厂供应核心原材料起家的,客户也主要是海外光刻胶大厂,包括在光刻胶领域最知名的几家公司如JSR、TOK、东丽等。近几年通过向下游成品胶领域拓展,博康已经拥有完备的光刻胶自供应链(单体+树脂+光酸+光刻胶),可以完全实现由初始原料到成品胶的全国产化自主化生产。华为的芯片产业链几乎涵盖了所有国内的芯片代工厂包括长江存储等,后续华为是否会协助徐州博康的光刻胶及配套产品进入这些芯片代工厂,值得持续关注,但是毋庸置疑的是,对徐州博康而言,这必然是一次巨大的历史性发展机遇。
  • 从墨水到光刻胶,瞄准“卡脖子”问题——访苏州大学高分子材料与工程专业负责人朱健教授
    高分子合成材料以其优异的性能、丰富的原料和低廉的成本,已经成功地成为当今生产生活中不可缺少的基础材料。随着社会的快速发展,人们越来越希望能够根据自身的不同需求,简单方便的设计合成各种各样性能优异的高分子材料。因此,研究人员们一直努力寻找简单而高效的活性聚合方法实现人类社会对高分子材料的高需求及高性能要求。近期,苏州大学高分子材料与工程部发表多篇活性聚合相关高水平论文,引起业内高度关注。仪器信息网也特别采访了苏州大学材料与化学化工学部高分子材料与工程专业负责人朱健教授,深入了解朱健及其团队在高分子合成领域所做的工作,并就其近期研究成果以及高分子合成未来发展方向等进行了深入的交流。科研之路:从“活性”自由基聚合到功能性材料从1995年开始,朱健便开始了高分子合成研究之路,刚开始主要研究方向是“活性”自由基聚合。传统的自由基聚合不能控制聚合物分子的结构和分子量大小,通常聚合物分子量分布宽;活性聚合反应条件比较苛刻,分子结构的可设计性较小。 活性自由基聚合可以方便的实施单体的自由基聚合又可摒弃两者缺点实现聚合物合成设计。朱健表示,刚开始对 “活性”自由基聚合的研究主要是对催化体系的开发,建立探索一些新的催化体系,例如对乙烯基单体的可控聚合,也将这一方法沿用到高分子聚合物的拓扑结构和分子量控制。随着对“活性”自由基聚合深入研究,朱健团队也将原来的合成方法向活性阴阳离子自由基聚合和结构调控方向进行拓展。“在合成方法建立以后,我们开始考虑方法的实用性,所以开始了功能材料合成的研究。”朱健介绍到。含硒化合物由于其特殊的光电响应行为和生理活性,近些年在在功能材料方面以及医药行业得到了很大发展。然而,有机硒的化学行为较为独特,国内关于含硒聚合物的研究十分稀少。朱健围绕含硒聚合物开展了含硒聚合物的设计与合成及其性能研究,建立了有机硒化合物调控的活性自由基聚合体系。通过此项研究,大大提升了活性自由基聚合方法的操作便利性,简化复杂聚合物合成步骤,为聚合物合成方法提供新途径。近几年,3D打印成为材料领域的研究热点,但已有技术打印体量较小,限制了其实际应用。朱健将光引发聚合与3D打印相结合,制备出新颖的“活性”材料。该方法所制备材料中聚合物链含有活性末端,可进一步进行材料后修饰及功能化,在制备刺激响应性、自修复等各种功能材料领域体现出重大潜在应用。同时将催化体系和单体的比例进行优化调整,对网格结构进行调整,这样3D打印出的物体机械性能也要优于普通材料。“在不同的应用领域,对于高分子材料的性能也有不同的要求,我们要通过功能推测出结构,将结构作为合成的目标,运用合适的聚合反应,合成目标结构,最后体现材料功能。”朱健谈到,“看似简单的研究过程,实则每一步都充满挑战性。”GPC:高分子合成过程的“观察者”高分子合成是分子层面的反应,人们肉眼是无法看到分子的变化,也无法去跟踪反应过程。而各种各样的分析仪器可以帮助人们去剖析和观察“看不到”的化学变化。朱健表示,在高分子合成研究过程中用到的科学仪器种类比较多,可简单划分为物理分析和化学分析两大类,常用仪器包括凝胶渗透色谱仪(GPC)、核磁共振波谱仪、气相色谱仪、荧光光谱仪、红外光谱仪、紫外光谱仪,以及各种质谱仪等。其中,GPC是一种表征聚合物分子量和分子量分布等特征的物理化学方法,由于仪器的不断改进,比如高效填料的使用、多种检测器的联用及与计算机的联用、仪器操作和数据处理的自动化等,使其在高分子合成领域中的应用范围不断扩大。“分子量是高分子结构参数中最基本、最重要的参数,目前,最高效便捷测定分子量的方法便是GPC。”朱健提到他团队便有多台GPC,其中有三台来自于东曹,三台GPC几乎是24小时“运转”。近年来,其他课题组也陆续购买了多台东曹的GPC。朱健认为,一台好的GPC最重要的一点便是高稳定性和高重复性,东曹的GPC所有的管路系统都在一个恒温的体系中,使溶剂流量不受溶剂类型和环境温度波动的影响,提高了检测的稳定性和重复性;其次是性价比高,能够高效缩短分析时间做到低溶剂消耗,同时保证实验的即时有效性;最后是操作简单,实验人员能够非常方便地进行仪器控制,数据采集、分析和管理等相关操作。不过,朱健也提到,目前GPC在检测器的性能方面仍有提升空间,多种检测器联用时,稳定性有待于进一步提升。从墨水到光刻胶,瞄准“卡脖子”问题从最简单的生活用品,到工业涂料、光刻胶,甚至航空、航天、军事领域都离不开高分子材料。朱健认为“如何将高分子合成研究,转化为实际能让人们受益的东西,是我们研究的关键。”在很多人的眼中,与超导体材料、半导体、超材料等研究比起来,一个“小小”的墨水研究算不上什么“高大上”的研究。李克强总理曾在采访中提出“小小的圆珠笔,中国造不出来吗?”的疑问。圆珠笔的核心就是笔尖和墨水,然而我国90%的笔尖、80%的墨水都需要进口,整个行业处于“替人打工、受制于人”的不利局面。为了解决这一问题,国家在 “十三五”中设置了《制笔新型环保材料》的国家重点研发计划。科研无大小,学术有深浅,遵循这一人生信条,朱健团队积极展开相关工作,切实解决“墨水”这样的民生问题。朱健团队也积极的承接了《制笔新型环保材料》项目。他们从墨水基础材料层面着手,根据高分子结构设计方法,利用大分子乳化剂,实现高稳定性、环保性乳化墨水的研发及产业化应用;该乳化墨水相对于传统墨水具有书写细腻流畅、粘度低、触变性优异及储存稳定性高等特点。他们也与文具公司合作成功研发了超顺滑中性笔,给数百亿支笔装上“中国墨水”。也许,您正在使用的中性笔便包含了朱健团队所研发的成果。当然,朱健团队的研究工作中也不乏“光刻胶”这样关系国家产业发展的大问题。目前,中国光刻胶国产化率较低,重点技术水平与国际先进技术有较大的差距。随着半导体行业、LED及平板显示行业的快速发展,对于光刻胶的需求越发旺盛,国内光刻胶产品未来市场空间巨大。朱健从光刻胶的应用场景及使用过程中性能要求出发,设计所需的聚合物的结构。往往光刻胶涉及到多组分单体,在合成的过程中,单体的双键含量和位置都需要严格设计,才能最终得到一个性能优异的高分子。朱健表示,目前光刻胶前期开发的工作已经完成,也有部分材料处于放大生产阶段,相信在不久的将来,国内光刻胶难题也将解决。在中国许多行业都存在“圆珠笔”、“光刻胶”等问题,朱健希望能够发挥团队在关键技术攻关中强有力的科研优势,集各家资源,力争我国在关键核心技术方面早日取得新的突破,解决关键领域“卡脖子”问题,实现科技自立自强。朱健,教授,博士,博士生导师,苏州大学材料与化学化工学部副主任,高分子材料与工程专业负责人。分别于1995,1998和2004年在苏州大学获学士、硕士和博士学位。1998年留校任教。2006-2007和2009-2010在新加坡国立大学和宾夕法尼亚州立大学从事博士后工作。主持国家十三五重大专项子课题一项,国家自然科学基金项目三项,江苏省自然科学基金和教育厅重点项目各一项。积极与企业合作,共同开发各类产品,累计到账横向课题经费907万元。获苏州大学苏鑫科研奖(2008,独立),江苏省科技进步二等奖(2009,第三)和教育部科技进步二等奖(2009,第三)。先后发表研究论文180多篇,获美国发明专利授权2项,澳大利亚发明专利授权2项,中国发明专利授权18项。
  • 南大光电完成国家科技重大专项,芯片光刻胶已验收
    7 月 29 日,南大光电官方发布公告:“关于公司承担的国家科技重大专项(02 专项)”已通过专家组验收。其中包括极大规模集成电路制造装备及成熟工艺、先进 7 纳米光刻胶产品开发与光刻胶供给链产业化。据南大光电披露,公司收到极大规模集成电路制造装备及成套工艺实践管理办公室下发的项目综合绩效评价结论书,公司作为牵头单位,承担的“极大规模集成电路制造装备及成套工艺”之光刻胶项目通过了专家组验收。据悉,光刻胶项目总体目标是开发高端集成电路制造用 ArF 干式与浸没式光刻胶成套工艺技术,形成规模化生产能力;构建与集成电路行业国际先进水平接轨的技术和管理人才团队等等。项目分为三个子课题,南大光电控股子公司宁波南大光电材料有限公司(以下简称宁波南大光电)承接了其中的“ArF 光刻胶产品的开发和产业化”课题。专家组评定后认为,通过项目的实施,宁波南大光电掌握了 ArF 干式和浸没式系列光刻胶产品的原材料制备、配胶、分析检测、应用验证等关键技术,在知识产权和人才培养等方面取得重要进展。形成了由 51 人组成的 ArF 光刻胶研发与生产管理团队,建成了 ArF 光刻胶产品的质量控制平台、年产 5 吨的干式 ArF 光刻胶及年产 20 吨的浸没式 ArF 光刻胶产业化生产线。实现 ArF 光刻胶产品销售,完成了任务合同书规定的主要考核指标。ArF 光刻胶材料是集成电路制造领域的关键材料,可以用于 90nm~14nm 甚至 7nm 技术节点的集成电路制造工艺,主要应用于高端芯片制造。目前我国在 ArF、KrF 光刻胶领域中的市场占比较少,全球大多数的光刻胶市场都被美国、日本垄断。需要注意的是,有关 7 纳米 ArF 光刻胶的应用,南大光电目前只是小规模投产,与之相关的生产线正在构建当中。在公告中,南大光电也表示,ArF 光刻胶的复杂性决定了其在稳定量产阶段仍然存在工艺上的诸多风险,不仅需要技术攻关,还需要在应用中进行工艺的改进、完善。同时,ArF 光刻胶产品国产化替代受品质、客户的严格要求,后续是否能取得下游客户的大批量订单,能否大规模进入市场仍存在较多的不确定性。这些都会影响 ArF 光刻胶的量产规模和经济效益。据了解,5 月末以来,南大光电公司股价累计涨幅超过 150%。
  • 安捷伦助力光刻胶国产化,提供杂质元素测定方案
    工欲善其事,必先利其器。半导体设备与材料作为半导体行业的前端供应基石,其进步与发展是整个行业持续向前的源动力。目前,我国半导体材料国产化替代市场需求期望大、发展空间广阔,同时各方资源共同推动行业上游材料、设备的进步。其中,光刻胶自 1959 年被发明以来,就成为半导体工业最核心的工艺原材料,可谓是推动实现摩尔定律的重要力量。但目前,我国集成电路用半导体光刻胶仍大规模依赖进口,是近几年国产化替代期望较高、国内半导体行业重点支持的核心项目,这也为国内光刻胶企业提供了市场空间和发展机遇。但由于半导体光刻胶有较高的行业技术壁垒和客户认证壁垒,为国产化道路造成了极大挑战。在半导体光刻胶的众多质控项中,除去关键的光学及物理性能,金属离子污染是晶圆制造三大常规污染中影响最为严重的一类,加之光刻工艺中光刻胶的特殊操作及所发生的光化学反应,现也成为质量管控中非常重要的一项图为:光刻胶产品制作工艺流程图对此,作为拥有多年半导体光刻胶研发经验的业内人士,上海新阳半导体材料股份有限公司研发部耿志月部长认为:“光刻作为集成电路制程中的核心步骤,其过程中的试剂及材料的金属离子污染会直接导致制程良率降低甚至废品产生,尤其对于影响最为严重的碱金属、碱土金属,管控最为严格。而光刻胶作为光刻制程中的核心材料,其产品品质要求逐步提升。金属离子含量管控需求已从成品逐步发展到全产业链,尤其对于基础原料中金属离子含量的控制,会直接影响后续工艺和最终成品。”安捷伦元素分析解决方案是基于半导体光刻胶全产业链,从原料到光刻胶成品的杂质元素含量管控体系。半导体光刻胶一般由光引发剂、树脂、单体、溶剂和其他助剂等组成,所用原料的品质会直接影响最终产品的品质;同时也决定了生产工艺的复杂程度、效率、成本等。安捷伦新一代 ICP-OES 可提供有机类样品直接进样的简便分析方法,同时具有更加智能化的分析模式,全谱扫描可自动鉴定光谱干扰,可用于筛查高含量杂质元素,这也为最终光刻胶产品的分析方法提供一定的指导信息,可最大程度减少样品复测率、保证测试准确性,为原料选择及追溯提供可靠保证。紧随半导体集成电路技术的发展需求,安捷伦 ICP-MS 通过不断的技术革新和行业经验积累,满足半导体行业对于痕量金属离子分析能力数量级式的提升。对于光刻制程用到的光刻胶及其配套试剂等有机化学品的检测,安捷伦专有的温焰模式(Warm Plasma)分析方法可对有机基体产生稳定的等离子体,同时加之样品引入部分特有的补偿气调节,可达到高灵敏度、低背景值检测,大大优化信噪比,有效实现 ppt 级及以下的检出能力。针对半导体光刻胶,从样品制备,到针对各种有机样品ICP-MS仪器参数选择,安捷伦与业内专家共同整理了《ICP-MS/MS 测定半导体光刻胶中的杂质元素 SOP》,期望助力光刻胶国产化。
  • 因关键检测设备采购周期延长,南大光电光刻胶项目延期
    南大广电日前发表公告称,公司募投项目“光刻胶项目”(以下简称“项目”)总投资额为66,000.00万元,计划使用募集资金15,000.00万元,原计划于2021年12月31日完成建设。项目实施主体为公司控股子公司宁波南大光电材料股份有限公司(以下简称“宁波南大光电”)。但南大光电在公告中指出,项目在实际投入过程中受到新冠疫情、客户验证需求变化、公司实际经营情况等多重因素的影响,尤其是项目所需的缺陷检测等关键设备采购周期延长,安装、调试工作也相应后移,导致该项目建设进度不及预期。公司在保持募集资金投资项目的实施主体、投资总额和资金用途等均不发生变化的情况下,根据募集资金投资项目当前的实际建设进度,计划将该项目的建设完成期限由原计划2021年12月31日延长至2022年12月31日。公司将继续通过统筹协调全力推进,力争早日完成该项目建设。他们同时强调,截至2021年12月31日,项目已累计投入45,316.28万元,全部由公司自有资金出资。宁波南大光电在2021年具体实施项目时,为满足项目建设所需采购的付款进度需要,优先使用了自有资金投入建设。本项目剩余资金缺口,公司将继续使用募集资金15,000.00万元满足其投资需求。根据公司与宁波南大光电的约定,上述募集资金使用将通过向宁波南大光电提供借款的方式进行,同时为了防止出现宁波南大光电以明显偏低成本占用上市公司资金从而损害投资者利益,还约定了该等借款将参考届时银行同期贷款利率计算利息。公告同时指出,公司扩建2,000吨/年三氟化氮生产装置项目按原计划继续进行,建设期不变。公告表示,本次募投项目的延期,是公司充分考虑了项目建设进度的实际情况做出的审慎决定。该事项仅涉及项目建设进度变化,未调整募投项目的实施主体、投资总额和资金用途,不存在改变或变相改变募集资金投向和其他损害股东利益的情形。本次公司募投项目的延期,不会对公司当前的生产经营造成重大影响。由于在项目后续具体建设过程中,仍可能存在各种不可预见因素,敬请广大投资者注意投资风险。在日前接受投资者提问的时候,南大广电表示,公司目前已经建成了两条arf光刻胶生产线,合计产能为25顿。而公司的arf光刻胶也有少量发货。南大光电“02专项”项目前程提要在2018年,南大光电曾发表关于实施国家“02专项”ArF光刻胶产品的开发 与产业化的可行性研究报告。报告指出,江苏南大光电材料股份有限公司(以下简称“南大光电”、“公司”、 “本公司”)成立于2000年12月,注册资本27,346.88万元,为全球MO源主要供应商之一。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。作为全球MO源的主要供应商,产品在满足国内需求时,已远销日本、台湾,韩国、欧洲和美国。公司获得了ISO9001质量认证体系、ISO14001环境认证体系及OHSAS18001职业健康体系的认证。公司2012年8月7日在深圳证券交易所创业板成功上市。公司目前拥有MO源、电子特气、光刻胶三大业务板块,努力成为国际一流的MO源供应商、国内领先的电子特气供应商和国内技术最领先的光刻胶供应商并力争在五到十年内发展成为国际上优秀的电子材料生产企业。而公司拟投资65,557万元实施“193nm(ArF)光刻胶材料开发和产业化”项目,项目实施主体宁波南大光电材料有限公司是本公司的全资子公司。按照他们所说,193nm(ArF)光刻胶和MO源都属于高纯电子材料,在生产工艺、分析测试等方面有一定的相似性,公司现有的很多生产技术和管理经验可以直接应用到此项目中。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。在产品的合成、纯化、分析、封装、储运及安全操作等方面均已经达到国际先进水平。同时,为了此次项目的开发,南大光电已完成1500平方米研发中心的建设工作。根据规划,公司将通过3年的建设、投产及实现销售,达到年产25吨193nm(ArF干式和浸没式)光刻胶产品的生产规模。产品满足集成电路行业需求标准,同时建成先进光刻胶分析测试中心和高分辨率光刻胶研发中心,为公司新的高端光刻胶产品的研发和产业化提供技术保障。目前本项目的主要建设内容为生产车间、分析测试中心、研发中心、仓库、水电、道路等配套设施的建设。他们在报告中指出,作为集成电路制造最为关键的基础材料之一——高档光刻胶材料(如:ArF光刻胶),几乎完全依赖于进口。这种局面已经严重制约了我国集成电路产业的自主发展。更有甚者,我国集成电路工业使用的高档光刻胶中,80%以上都是从日本一个国家进口(剩余的部分从美国进口)。这样垄断式的依赖格局使得中国集成电路产业在我国发生严重自然灾害、政治冲突、商业冲突或军事冲突时受到严重的负面影响。从产品性质方面分析,相较于可以长时间保存(3年左右,甚至更长)的大硅片和先进制造设备, 高档光刻胶的保质期很短(6个月左右,甚至更短)。一旦遇到上述的自然灾害或冲突,我国集成电路产业势必面临芯片企业短期内全面停产的严重局面。因此,尽快实现全面国产化和产业化高档光刻胶材料具有十分重要的战略意义和经济价值。但南大光电也强调,ArF光刻胶产品的配方包括成膜树脂、光敏剂、添加剂和溶剂等组分材料。是否能够将各个组分的功能有效地结合在一起,关系到光刻胶配方的成败,这是调制光刻胶配方的最大挑战和难点,也是一个光刻胶公司技术能力的基本体现。国际上只有为数很少的几家光刻胶公司可以做到产品级 ArF光刻胶配方的调制。针对此种情况,一方面,我们可以进行外部引“智”,从光刻胶技术先进的美国和日本等国家引进相关领域的专家。另一方面,我们应该进行内部寻“智”,联合国内光刻胶的研究单位,积极培养国内的光刻胶研发人才。通过人才的“内外结合”,我们将自主研发出国产ArF光刻胶产品。同时,我们又可以此团队为基础,建设属于我国自己本土的光刻胶人才队伍,为公司先进光刻胶产品的升级换代和我国集成电路行业的后续发展奠定基础。
  • 某国产光刻胶产业化项目拟投资实施,购置仪器设备520 余台(套)
    12月16日,富湖北鼎龙控股股份有限公司(以下简称“鼎龙股份”)发布公告,为助力推动半导体 KrF/ArF 光刻胶的国产替代进程,同时进一步丰富公司业务板块,加速实现公司进口替代“创新材料平台型企业”的战略发展目标,公司全资子公司鼎龙(潜江)新材料有限公司(以下简称“潜江新材料”)布局 KrF/ArF光刻胶。鼎龙股份于 2023年12月22日召开第五届董事会第十四次会议,审议通过了《关于全资子公司实施增资扩股并与员工持股平台共同投资建设年产300吨 KrF/ArF 光刻胶产业化项目的议案》,同意公司对潜江新材料实施增资并以增资扩股方式引入两家员工持股平台及一家新进投资方共同投资建设年产 300 吨KrF/ArF 光刻胶产业化项目。本次 KrF/ArF 光刻胶项目拟投资实施的主要内容1、项目名称:年产300吨KrF/ArF光刻胶产业化项目2、实施地点:湖北省潜江市江汉盐化工业园长飞大道 1 号3、实施主体:鼎龙(潜江)新材料有限公司4、资金来源:公司自有或自筹资金5、建设内容及规模:新建主体生产厂房、动力中心、中控室、仓库、罐区等建筑面积 16,620.94 平方米,购置反应釜、混配釜、储液罐、离心机、质谱仪等仪器设备 520 余台(套),配套建设安全环保设施。项目投产后形成年产 300吨 KrF/ArF 光刻胶的生产能力。6、项目投资估算:本项目预计总投资额为80,395.30万元,总投资计划包括:产业化工程建设投资、研发及检测设备购置及安装费用、铺底流动资金等,预计年产产能为300吨,最终项目投资总额及产业化规模以实际投资为准。本次投资审议有1.5亿元注册资本,后续投资资金的缺口将由潜江新材料自筹解决。半导体光刻胶是由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体,半导体光刻胶按照光刻波长分为紫外光谱、g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)。半导体光刻胶是半导体光刻工艺中的关键材料,光刻胶及其配套试剂在晶圆制造材料成本中占比超过10%,半导体光刻胶的分辨率、对比度、感光速度等技术指标和质量一致性,直接影响到集成电路的性能、良品率、可靠性以及生产效率,其技术壁垒极高,因此被誉为半导体材料“皇冠上的明珠”。根据 TECHET 数据,2021 年全球半导体光刻胶市场中,合成橡胶(JSR)、东京应化(TOK)、信越、杜邦、住友化学、富士等企业占据的市场份额合计超过 90%,用于先进工艺的 KrF、ArF、EUV 光刻胶基本由该等外资厂商垄断。相比之下,中国光刻胶行业发展起步较晚,国产光刻胶主要用于平板显示、印刷电路板等领域,用于晶圆制造、先进封装的半导体光刻胶严重依赖进口。近年来,经过国内半导体光刻胶厂商的不懈努力,国内厂商已实现 g/i 线光刻胶的量产,但在更为先进的 KrF、ArF、EUV 光刻胶领域尚未实现大规模量产。在国际市场技术封锁、国内半导体产业加速发展的大背景下,KrF、ArF 光刻胶因其覆盖了从 0.25µm 到 7nm 的主要半导体先进制造工艺,是现阶段迫切需要实现国产化技术突破的半导体关键材料。因此,尽快实现高端光刻胶材料的国产化、产业化具有十分重要的战略意义和经济价值。经过多年快速发展,鼎龙股份已在半导体材料业务方面取得了显著成绩,积累了深厚的市场资源和客户基础。其中:半导体 CMP 制程工艺材料国产供应渗透程度稳步加深、半导体显示材料进入加速放量阶段、半导体先进封装材料产品开发、验证及量产导入工作按计划快速推进,半导体材料业务的快速发展带动了公司的业绩增长。为实现业务的可持续发展和利润的可持续增长,公司在保持现有业务稳健成长的同时,积极布局高端光刻胶赛道,努力打造新的盈利增长点。该项目的实施,将进一步丰富鼎龙股份的业务板块、步入高端光刻胶关键赛道,有利于公司进一步优化半导体材料业务的产品结构、扩大市场份额、新增利润增长点。
  • 半导体材料无机非金属离子和金属元素解决方案——光刻胶篇
    半导体材料无机非金属离子和金属元素解决方案——光刻胶篇李小波 潘广文 近年来,随着物联网、人工智能、新能源汽车、消费类电子等领域的应用持续增长以及5G的到来,集成电路(integrated circuit)产业发展正迎来新的契机。集成电路制造过程中,光刻工艺约占整个芯片制造成本的35%,是半导体制造中最核心的工艺。涉及到的材料包括多种溶剂、酸、碱、高纯有机试剂、高纯气体等。在所有试剂中,光刻胶的技术要求最高。赛默飞凭借其在离子色谱和ICPMS的技术实力,不断开发光刻胶及光刻相关材料中痕量无机非金属离子和金属离子的检测方案,助力光刻胶产品国产化进程。从光刻胶溶剂、聚体、显影液等全产业链,帮助半导体客户建立起完整的质量控制体系。 光刻胶是什么?光刻胶又称抗刻蚀剂,是半导体行业的图形转移介质,由感光剂、聚合物、溶剂和添加剂等四种基本成分组成。将光刻胶旋涂在晶圆表面,利用光照反应后光刻胶溶解度不同而将掩膜版图形转移到晶圆表面,实现晶圆表面的微细图形化。根据光刻机的曝光波长不同,光刻胶种类也不同。 光刻相关材料光刻相关材料主要有溶剂、显影剂、清洗剂、刻蚀剂和去胶剂,这些材料被称为高纯湿电子化学品,是集成电路行业应用非常广泛的一类化学试剂。光刻胶常用溶剂有丙二醇甲醚/丙二醇甲醚醋酸酯(PGME/PGMEA)、甲醇、异丙醇、丙酮和N-甲基吡咯烷酮(NMP)等。常见的正胶显影剂有氢氧化钠和四甲基氢氧化铵等,对应的清洗剂是超纯水。 光刻胶及光刻相关材料中金属离子、非金属阴离子对集成电路的影响半导体材料拥有独特的电性能和物理性能,这些性能使得半导体器件和电路具有独特的功能。但半导体材料也容易被污染损害,细微的污染都可能改变半导体的性质。通常光刻胶、显影液和溶剂中无机非金属离子和金属杂质的限量控制在ppb级别,控制和监测光刻工艺中无机非金属离子和金属离子的含量,是集成电路产业链中非常重要的环节。 光刻胶及光刻相关材料中无机金属离子、非金属离子的测定方法国际半导体设备和材料产业协会(Semiconductor Equipment and Materials International,SEMI)对光刻胶、光刻工艺中使用的显影剂、清洗剂、刻蚀剂和去胶剂等制定了严格的无机金属离子和非金属离子的限量要求和检测方法。离子色谱是测定无机非金属离子杂质(F-、Cl-、NO2- 、Br-、NO3- 、SO42-、PO43-、NH4+)最常用的方法。在SEMI标准中,首推用离子色谱测定无机非金属离子,用ICPMS测定金属元素。赛默飞凭借其离子色谱和ICPMS的领先技术,紧扣SEMI标准,为半导体客户提供简单、快速和准确的光刻胶和光刻相关材料中无机金属离子和非金属离子的检测方案,确保半导体产业的发展和升级顺利进行。针对光刻胶及光刻相关材料中痕量无机非金属离子和金属元素的分析,赛默飞离子色谱和ICPMS提供三大解决方案。 方案一 NMP、PGMEA、DMSO等有机溶剂中痕量无机金属和非金属离子的测定方案 光刻胶所用有机溶剂中无机非金属离子的限量要求低至ppb~ppm级别。赛默飞离子色谱提供有机溶剂直接进样的方式,通过谱睿技术在线去除有机基质,一针进样同时分析SEMI标准要求监控的无机非金属离子。整个分析过程无需配制任何淋洗液和再生液,方法高效稳定便捷,避免了试剂、环境、人员等因素可能引入的污染。ICS 6000高压离子色谱有机试剂阀切换流路图 滑动查看更多 光刻胶溶剂中ng/L级超痕量金属杂质的测定,要求将有机溶剂直接进样避免因样品制备过程引起的污染。由于 PGMEA 和 NMP具有高挥发性和高碳含量,其基质对ICPMS分析会引入严重的多原子离子干扰,并对等离子体带来高负载。iCAP TQs ICP-MS 中采用等离子体辅助加氧除碳,并结合冷等离子体、串联四级杆和碰撞反应技术,可有效去除干扰。变频阻抗式匹配的RF发生器设计,可轻松应对有机溶剂直接进样,并可实现冷焰和热焰模式的稳定切换。 冷焰TQ-NH3模式测定NMP中Mg热焰TQ-O2模式测定NMP中V NMP、PGMEA有机溶剂直接进样等离子体状态未加氧(左),加氧(右) 方案二 显影液中无机金属离子及非金属离子测定方案 光刻工艺中常用的正胶显影液是氢氧化钠和四甲基氢氧化铵,对于这两大碱性试剂赛默飞推出强大的在线中和技术,样品仅需稀释2倍或无需稀释直接进样,避免了样品前处理引入的误差和污染,对此类样品中阴离子的定量限达到10ppb以下。这一方法帮助多家高纯试剂客户解决了碱液检测的技术难题,将该领域的高纯试剂纯度提升到国际先进水平。中和器工作原理四甲基氢氧化铵TMAH是具有强碱性的有机物,作为显影液的TMAH常用浓度为2.38%, 为了避免样品处理中引入的污染,ICPMS通常采用直接进样方式测定。在高温下长时间进样碱性样品,会导致腐蚀石英炬管,引起测定空白值的提高。iCAP TQs使用最新设计的SiN陶瓷材料Plus Torch,耐强酸强碱,可一劳永逸地解决碱性样品中痕量金属离子的测定。新型等离子体炬管Plus Torch 方案三 光刻胶单体和聚体中卤素及金属离子测定方案 光刻胶单体和聚体不溶于水,虽溶于有机试剂但容易析出,常规方法难以去除基质影响。赛默飞推出CIC在线燃烧离子色谱-测定单体和聚体中的卤素,通过燃烧,光刻胶样品基质被完全消除,实现一次进样同时分析样品中的所有卤素含量。燃烧过程实时监控,测定结果准确稳定,满足光刻胶中痕量卤素的限量要求。图 CIC燃烧离子色谱仪SEMI P32标准使用原子吸收、ICP光谱和ICP质谱法来测定光刻胶中ppb级的Al Ca Cr 等10种金属杂质,样品前处理可采用溶剂溶解和干法灰化酸提取两种方法。溶剂溶解法是使用PGMEA等有机溶剂将样品稀释50-200倍,超声波振荡充分溶解后,直接进样测定。部分聚合物较难溶解于有机溶剂中,将采用500-800度干法灰化处理,并用硝酸溶解残留物提取。iCAP TQs采用在样品中添加内标工作曲线法测定,对于不同基质样品及处理方法的样品可提供准确的测定结果。 总结 针对集成电路用光刻胶及光刻相关材料,赛默飞离子色谱和ICPMS提供无机非金属离子和金属离子杂质检测的完整解决方案,为光刻胶及高纯试剂客户提供安全、便捷可控的全方位支持。“胶”相辉映,赛默飞在行动,助力集成电路产业发展,促进光刻胶国产化进程,欢迎来询! 参考文献:1.SEMI F63-0521 GUIDE FOR ULTRAPURE WATER USED IN SEMICONDUCTOR PROCESSING2.SEMI P32-1104 TEST METHOD FOR DETERMINATION OF TRACE METALS IN PHOTORESIST3.SEMI C43-1110 SPECIFICATION FOR SODIUM HYDROXIDE, 50% SOLUTION4.SEMI C46-0812 GUIDE FOR 25% TETRAMETHYLAMMONIUM HYDROXIDE5.SEMI C72-0811 GUIDE FOR PROPYLENE-GLYCOL-MONO-METHYL-ETHER (PGME), PROPYLENE-GLYCOL-MONO-METHYL-ETHER-ACETATE (PGMEA) AND THE MIXTURE 70WT% PGME/30WT% PGMEA6.SEMI C33-0213 SPECIFICATIONS FOR n-METHYL 2-PYRROLIDONE7.SEMI C28-0618 SPECIFICATION AND GUIDE FOR HYDROFLUORIC ACID8.SEMI C35-0118 SPECIFICATION AND GUIDE FOR NITRIC ACID9.SEMI C36-1213 SPECIFICATIONS FOR PHOSPHORIC ACID10.SEMI C44-0618 SPECIFICATION AND GUIDE FOR SULFURIC ACID11.SEMI C41-0618 SPECIFICATION AND GUIDE FOR 2-PROPANOL12.EMI C27-0918 SPECIFICATION AND GUIDE FOR HYDROCHLORIC ACID13.SEMI C23-0714 SPECIFICATIONS FOR BUFFERED OXIDE ETCHANTS
  • 南大光电自主研发的 ArF(193nm)光刻胶成功通过认证
    p style="text-indent: 2em text-align: justify "近日,南大光电发布公告称,其控股子公司 “宁波南大光电”自主研发的 ArF(193nm)光刻胶产品近日成功通过客户的使用认证。报告显示,“本次认证选择客户 50nm 闪存产品中的控制栅进行验证,宁波南大光电的ArF光刻胶产品测试各项性能满足工艺规格要求,良率结果达标。”/pp style="text-align: justify text-indent: 2em "“ArF 光刻胶产品开发和产业化”是宁波南大光电承接国家 “02 专项”的一个重点攻关项目。本次产品的认证通过,标志着 “ArF 光刻胶产品开发和产业化”项目取得了关键性的突破,成为国内通过产品验证的第一只国产 ArF 光刻胶。/pp style="text-align: justify text-indent: 2em "据了解,光刻胶是半导体芯片制造过程中的核心材料之一 ,经过紫外光、电子束等照射,光刻胶得到曝光,化学性质发生改变,经过显影液的洗涤,图案会留在衬底上。光刻胶分为 KrF(248nm)、ArF(193nm)和 EUV(13.5nm)几种,括号中的数值为曝光光源的波长。/ppbr//p
  • 芯米半导体“一种光刻胶保湿系统”专利获授权
    天眼查显示,芯米(厦门)半导体设备有限公司近日取得一项名为“一种光刻胶保湿系统”的专利,授权公告号为CN111661452B,授权公告日为2024年6月28日,申请日为2020年6月23日。发明内容本发明公开了一种光刻胶保湿系统,其结构包括盒体,本发明通过设置辅助机构于盒盖上端中部,电机输出轴带动第一旋转轴,从而使得固定在旋转板右端的搅拌装置开始对承装斗内的光刻胶进行搅拌,达到了防止光刻胶凝结,且便于使用者进行使用的优点,通过设置承装装置于盒体上端中部,将光刻胶放置在承装斗后,搅拌装置工作时,将使得滑杆在凹槽中进行缓慢的转动,达到了增强承料斗工作时的稳定性的优点。
  • 复旦大学魏大程团队研发半导体性光刻胶,实现特大规模集成度有机芯片制造
    近日,复旦大学高分子科学系、聚合物分子工程国家重点实验室魏大程团队设计了一种新型半导体性光刻胶。2024年7月4日,该成果以《基于光伏纳米单元的高性能大规模集成有机光电晶体管》(“Photovoltaic nanocells for high-performance large-scale-integrated organic phototransistors”)为题发表于《自然纳米技术》(Nature Nanotechnology)。光刻胶又称为光致抗蚀剂,在芯片制造中扮演着关键角色,经过曝光、显影等过程能够将所需要的微细图形从掩模版转移到待加工基片上,是一种光刻工艺的基础材料。传统光刻胶仅作为加工模板,本身不具备导电、传感等功能。该成果则报道了一种半导体性的光刻胶设计策略,通过掺杂光活性粒子进行光电功能化,可以通过微电子制造业通用的光刻技术进行光电晶体管的大规模高分辨率制备,实现了大规模有机光电芯片的集成,将集成度和光响应度提高了两个数量级以上。现代信息科技的飞速发展对功能芯片集成度的要求越来越高。目前硅基芯片的制程工艺已经达到了3纳米的节点,集成密度已经超过2亿个晶体管每平方毫米。硅基芯片单片集成的集成度从小规模集成度(SSI)、中规模集成度(MSI)、大规模集成度(LSI)、超大规模集成度(VLSI)和特大规模集成度(ULSI)(集成器件数量分别大于2、26、211、216、221)不断迈向更高的水平。相比之下,基于有机半导体材料的有机芯片克服了无机半导体固有的刚性,凭借其与软组织良好的机械相容性,在可穿戴电子学、生物电子学等新兴领域具有广阔的应用前景。然而,目前有机芯片的集成度远远落后于硅基芯片。通过溶液加工(丝网印刷、喷墨打印)或真空蒸镀等方法制备出的有机芯片,其集成度通常不超过大规模集成度(LSI)水平。这是因为有机半导体导电通道由范德华力堆叠形成,在复杂制造流程的溶剂和热处理过程中易受到损伤,导致芯片性能随小型化而急剧降低。尤其当特征尺寸降低到微米及以下时,小型化和性能的折中显著地限制了高集成有机芯片的发展。图1:(a)光刻胶组成;(b)光刻胶聚集态结构;(c)在不同衬底上加工的有机晶体管阵列;(d)有机晶体管阵列结构示意图及光学显微镜照片;(e)有机光电晶体管成像芯片(PQD-nanocellOPT)与现有商用CMOS成像芯片以及其他方法制造有机成像芯片的像素密度对比。在这项工作中,魏大程团队报道了一种新型半导体性光刻胶的设计策略,该材料包含光引发剂、交联单体、导电高分子,可以通过光交联形成纳米尺度的互穿网络结构,同时实现了亚微米级的光刻图案化精度、良好的半导体性能和工艺稳定性。这种半导体性光刻胶可以通过添加不同的活性粒子来功能化。为了实现高灵敏的光电探测能力,研究者开发了一种具有光伏效应的核壳结构纳米粒子,添加到半导体性光刻胶中。纳米光伏粒子在光照下会产生光生载流子,电子被内核捕获,对半导体导电通道产生原位光栅调控,大幅提升了器件的响应度。作为展示,研究者利用光刻技术在全画幅尺寸芯片上集成了2700万个有机晶体管并实现了互连,实现了特大规模集成度(ULSI)的制造水平。该阵列(4500×6000像素)集成密度达到3.1×106 units/cm2,光响应度达到6.8×106 A/W。研究者将高密度阵列转移到柔性衬底上,实现了仿生视网膜应用,在基于神经网络的图像识别算法中展现出比传统CMOS器件更高的性能。此外,该团队还研发出具有化学传感功能、生物电传感功能的光刻胶。由于开发的功能化半导体光刻胶使用半导体产业通用的光刻技术进行加工,所以与商业微电子制造流程高度兼容,具有很大的应用前景。未来该团队也会积极寻求产业界的合作,希望能够推动科研成果的实用化。图2:(a,b)人眼和仿生视网膜的结构示意图;(c)在5&thinsp ×&thinsp 5 晶体管阵列上展示光电突触性能;(d)基于神经网络的图像识别算法中仿生视网膜与传统CMOS光电探测器的性能对比。“我们正在积极寻求产业界合作,希望能够推动科研成果的应用转化。未来,这种材料一方面能够用于制造高集成度柔性芯片,另一方面由于其光刻兼容性,还有可能实现有机芯片与硅基芯片的功能集成,进一步拓展硅基芯片的应用。”团队负责人魏大程说。
  • 涉及上百台仪器,晶瑞光刻胶研发工艺曝光
    IC光刻胶开发一般来说会涉及研发设备和测试设备,其中研发设备主要就是以混配釜和过滤设备为主,此类设备需考虑纯度控制,设备内一般使用PFA内衬或PTFE涂层,避免金属离子析出。测试设备(必备的)ICP-MS、膜厚仪、旋涂机、显影器、LPC、质谱、GPC,另外关于光刻机也是核心部分。光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,去年却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞化学股份有限公司(已更名为“晶瑞电子材料股份有限公司”)是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。其采购光刻机主要用于晶瑞化学集成电路用高端光刻胶研发项目。近日,仪器信息网从公开文件了解到该项目的相关信息,涉及工艺流程和仪器配置等信息,详情如下:项目主体工程研发方案建设项目工程一览表本项目主要生产设备一览表营运期工艺流程及产污分析:工艺流程及简述:本项目通过小试实验为晶瑞化学股份有限公司生产提供技术支撑,不产生具体产品,实验室在进行实验后得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料作为危险废物委托有资质单位处理,不作为产品销售或外卖。1. 研发工艺流程图因研发中心项目每次开发过程中所使用的化学原料、可能发生的化学反应等均具有不确定性,因此研发中心项目的流程以实验研发中心为单元进行表示如下:本次研发中心项目工作流程图工艺流程描述研发中心项目具体操作流程如下:a、实验前风险评估:在此阶段科学家将对需进行的研究进行预研发风险分析,并通过相关的安全分析得出需研究项目的试验安全等级,确定试验过程中需采取的安全和环保措施。b、风险评估通过后将进入研发小试实验阶段:因研发中心项目每次实验需用到的物料和用量均无法事先设定,需根据具体的研发方向和实验要求来确定,因此研发中心项目的物料使用种类和使用量具有不确定性。但公司从环保角度考虑,研发中心项目各实验室均按标准化实验室进行建设,本次研究实验除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实验室通风橱内进行,通风橱收集率为 90%,光刻机为密闭系统,产生的废气由单独的管道收集,收集率为 98%。收集后的废气经一套“蜂窝活性炭+袋式活性炭”两级活性炭处理装置处理后由 30m 高排气筒 P4 排放。研发中心项目实验过程得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料均收集后作为危废委外处理,有妥善的处理处置方式。具体研发实验工艺:1、树脂合成工艺:树脂合成工艺流程树脂合成工艺流程简述如下:除氧:常温、常压下,向搭载机械搅拌、冷凝管和温度计的四口烧瓶中持续通入氮气,除去反应瓶中的氧气,氮气作为保护气体,可以保护后续反应不受氧气干扰。聚合反应:除氧后向四口烧瓶中依次加入反应所需单体,引发剂及适量溶剂后,将四口烧瓶置于油浴锅(加热辅材为硅油)中使用机械搅拌器搅拌至四口烧瓶中的物料搅拌成透明均一的溶液,于设定温度条件下油浴锅加热反应,红外监测反应进程。油浴加热为间接加热,使用硅油作为加热辅材,硅油的沸点高于100摄氏度,油浴加热所需的加热温度为 20~60 摄氏度,该温度下硅油几乎不产生油雾,反应在通风橱中进行。引发剂和溶剂的添加种类与添加量,单体的配比等根据设定的工艺路线及实验的测试结果进行优化。该过程使用的单体有:(A)丙烯酸酯类单体(甲基丙烯酸 5-氧代四氢呋喃-3-基酯,2-甲基 2-金刚烷基甲基丙烯酸酯,丙烯酸叔丁酯);(B)马来酸酐;(C)降冰片烯;加入的溶剂为二氧六环;引发剂为:对甲基苯磺酸、偶氮二异庚腈、偶氮二异丁酸二甲酯、偶氮二异丁腈、过氧化苯甲酰,以及氨水。反应过程中无废液产生,反应装置使用自来水间接冷却。该反应过程产生G1-1 有机废气、G1-2 氨气。聚合反应方程式一次清洗、过滤、干燥:使用滴液漏斗将树脂溶液用丙酮稀释,通过滴液漏斗缓慢滴加到 5 倍用量纯水中,将上述混合物倒入布氏漏斗,并用真空泵抽滤,得到白色粉末产物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止)。树脂沉淀过滤过程中,产生 S1-2 废滤材及 S1-2 清洗废液,均作为危废委托有资质单位进行处理。干燥过程产生 G1-2 有机废气。金属离子去除:将离子交换树脂填充到离子交换柱中。将醋酸丁酯和聚合物粉末于烧杯中溶解,并调节体系固含至 15-20 wt%。将树脂溶液直接倒入离子交换柱中,流经离子交换树脂,循环多次,ICP-MS 金属离子浓度低于 10 ppb。该过程产生固体 G1-3 有机废气、S1-3 离子交换树脂。二次清洗、过滤、干燥:将树脂溶液缓慢滴加到去 5 倍用量的纯水中(1L废水量),抽滤得到白色粉末状聚合物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止),产生 S1-4 废液、S1-5 废滤材、G1-4 有机废气。水分测试:加入卡尔菲休试剂,使用水分仪检测水分含量至 2000ppm,该过程产生 G1-5 有机废气,S1-6 测试废液。理化性质测试:树脂经过真空干燥后,在测试实验室中使用四氢呋喃、DMF、四氢呋喃、重水、氘代丙酮、氘代氯仿、DMSO-d6、甘油、丙二醇甲醚醋酸酯、乙腈、丙酮、溴化钾、硝酸钾等溶剂对树脂的理化性质进行测试。通过核磁测试聚合物结构,通过凝胶渗透色谱测定聚合物分子量大小,该过程产生 G1-6 有机废气以及 S1-7 测试废液。2、光产酸剂制备工艺:光产酸剂制备工艺流程生产工艺流程简述如下:备料:光产酸剂制备研发实验常用的原料包括:对羟基苯磺酸钠、十二烷基苯磺酸、樟脑坤磺酸钠、和三苯基氯化硫鎓盐,二苯基氯化碘鎓盐、醋酸酐、间苯二酚等;溶剂包括:纯水、甲醇等;该工序产生 G2-1 有机废气。合成:将光产酸合成所需原料钠盐加入到搭载机械搅拌的四口烧瓶中,用水溶解。光产酸剂合成反应方程式萃取:通过滴液漏斗向烧瓶中缓慢滴加鎓盐溶液,于室温下反应 3-5 个小时。静止分层,除去上层水溶液,并继续用水洗涤 3 次,用甲醇萃取产物,该工序产生 S7 废液。该工序产生 S2-1 废液以及 G2-2 有机废气。干燥、过滤:用无水硫酸钠干燥甲醇萃取液 24h,然后过滤。该工序产生 S2-2硫酸钠以及 S2-3 废滤材。旋蒸:使用旋转蒸发仪将滤液旋蒸后得到产物光产酸剂。该过程产生 G2-3有机废气。3、光刻胶制备与测试:光刻胶制备与测试工艺流程该工艺全部在光刻机中进行,工艺流程简述如下:样品制备与测试:样品制备所用树脂为实验室自主研发合成,光致产酸剂为自主研发合成;所用溶剂包括:丙二醇甲醚醋酸酯、乳酸乙酯、二甲苯、γ -丁内酯、丁酮、丙二醇单甲醚、醋酸丁酯、石油醚、二甘醇单丁醚、甲基异丁基酮、DMAC、NMP等。调制时根据设定的工艺路线或前次的测试结果选择加入不同的树脂和溶剂。将所用的树脂与光致产酸剂、碱性添加物三辛胺等和溶剂按照一定的比例混合、溶解。样品调制用树脂主要包括:酚醛树脂、重氮萘醌磺酸酯、叠氮类化合物、甲醚化三聚氰胺等。光产酸剂有:三苯基硫鎓盐、二苯基碘鎓盐、三嗪类化合物等。样品制备过程中无化学反应发生,不产生污染物。过滤:使用漏斗等过滤仪器将样品过滤,该工序产生 S3-1 废滤材。光刻胶成膜、烘干:使用匀胶显影涂布机将调制好的光刻胶涂布在硅片上,涂布好的硅片用100℃热板烘干。涂布、烘干过程中光刻胶中的有机溶剂挥发产生 G3-1 有机废气;剩余的光刻胶报废处理,产生 S3-2 废光刻胶。冷却:将涂布、烘干后的硅片冷却至室温,该工序产生 G3-2 有机废气。光刻胶曝光显影:将冷却至室温的硅片放入曝光机内曝光。曝光结束后将硅片放入显影液中显影,显影后使用纯水清洗硅片即可得到微米或纳米级别图案。实验室常用的显影液包括:四甲基氢氧化铵、氢氧化钾、氢氧化钠溶液等,该工序产生 S3-3 碱性废液。成像测试:主要通过显微镜、椭偏仪等仪器观察光刻胶图形的成像效果。测试后产生 S3-4 废硅片。4、仪器清洁:仪器清洗工艺流程工艺流程简述如下:残余物溶解:加丙酮溶解仪器内残留的光刻胶或树脂,产生溶解废液 S4-1,丙酮挥发产生有机废气 G4-1;清洗溶剂:加少量纯水,清洗仪器内残留的废液,产生含有机溶剂的清洗废液 S4-2,丙酮挥发产生有机废气 G4-2;擦拭:使用无尘布蘸取少量丙酮擦拭干净仪器内壁,产生有机废气 G4-3。润洗:待仪器干燥后,使用纯水对仪器进行润洗,产生的 W1 润洗水排入污水管网;干燥:仪器清洗干净后放在置物架自然晾干或放入烘箱烘干。上述流程除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实验室通风橱内进行。5、设备清洗设备清洗工艺流程使用纯水对设备进行清洗,使用的工段有:(1)显影工艺中对硅片进行喷淋清洗;(2)湿法曝光工段中作为镜头与硅片间的浸没液体;该工序产生清洗废液,作为危废委托有资质单位进行处理。纯水使用情况详情见下表:设备清洗用水汇总
  • 瑞联新材拟投4.9亿元建设光刻胶及高端新材料产业化项目
    大半导体产业网消息,瑞联新材昨日晚间发布公告称,公司拟投建光刻胶及高端新材料产业化项目。公告显示,该项目的实施主体为公司的全资子公司大荔海泰新材料有限责任公司(简称“大荔海泰”),项目选址位于渭南市大荔县经济技术开发区,计划总投资金额为49,050.90万元,首期项目投资为8,310.96万元。项目拟分期建设完成,首期新建综合办公楼1栋、生产车间1栋及其配套的辅助工程和服务设施,用于光刻胶、医药中间体及其它电子精细化学品等产品的生产。瑞联新材表示,依托于公司在显示材料领域积累的市场口碑和质量管控经验,致力于在电子化学品板块开发出更多与公司现有核心技术相关的并能够对公司业务形成有力支撑的新产品。在PCB、显示面板和集成电路产业国产化进程加速、产业链自主可控需求迫切的背景下,作为上游关键材料的光刻胶呈现明显的进口替代趋势,国产半导体用光刻胶将迎来快速发展的机遇。通过本项目的建设,公司将有效扩大电子化学品产能规模,匹配下游日益增长的市场需求,加快国产替代市场占有步伐,培育新的利润增长点。
  • 打破地域限制,光刻胶企业晶瑞股份拟更名!
    3月1日,晶瑞股份公告披露,公司于2021年3月1日召开了第二届董事会第三十五次会议,审议通过了《关于变更公司名称的议案》,尚需提交股东大会审议通过。根据公告,晶瑞股份拟将中文名称由“苏州晶瑞化学股份有限公司”变更为“晶瑞电子材料 股份有限公司”,英文名称由“Suzhou Crystal Clear Chemical Co.,Ltd.”变更为“Crystal Clear Electronic Material Co.,Ltd.”,中文简称保持不变,仍为“晶瑞股份”,英文简称由“SCCC”变更为“CCEM”。关于拟变更公司名称原因,晶瑞股份表示,考虑到公司主导产品如超净高纯试剂、光刻胶等产品的产品用途、公司在建项目及未来产品规划,为更好地反映公司的产品定位及战略发展目标,提升企业的品牌价值,公司拟修订公司名称,本次修订不会对公司的持续经营产生不利影响,符合公司和全体股东的利益。公告指出,公司证券简称(晶瑞股份)、证券代码(300655)、债券简称(晶瑞转债)、 债券代码(123031)均保持不变;本次拟变更的公司名称已经通过国家市场监督管理总局预核准,并取得《企业名称变更登记通知书》,公司将在股东大会审议通过该事项后办理工商变更登记事宜,变更后的公司名称以工商行政管理机关登记为准。
  • 发改委:加快在光刻胶、大尺寸硅片、电子封装材料等领域实现突破
    仪器信息网讯9月25日,中华人民共和国国家发展和改革委员会发布《关于扩大战略性新兴产业投资培育壮大新增长点增长极的指导意见》(发改高技〔2020〕1409号,以下简称《指导意见》)。本次《指导意见》针对扩大战略性新兴产业投资提出了三方面重点任务:一是聚焦重点产业投资领域;二是打造产业集聚发展新高地;三是增强资金保障能力。《指导意见》围绕优化投资服务环境,提出了四方面政策保障措施:一是深化“放管服”改革;二是优化项目要素配置;三是完善包容审慎监管;四是营造良好投资氛围。覆盖的重点产业投资领域涵盖信息技术产业,生物产业,高端装备制造产业,新材料产业,新能源产业,智能及新能源汽车产业,节能环保产业和数字创意产业。其中明确提出“围绕保障大飞机、微电子制造、深海采矿等重点领域产业链供应链稳定,加快在光刻胶、高纯靶材、高温合金、高性能纤维材料、高强高导耐热材料、耐腐蚀材料、大尺寸硅片、电子封装材料等领域实现突破”,“加快主轴承、IGBT、控制系统、高压直流海底电缆等核心技术部件研发”。光刻胶、大尺寸硅片和电子封装材料是半导体产业的关键技术领域,而IGBT更是涉及第三代半导体产业的重要半导体器件。此外,信息技术产业、高端装备制造产业和智能及新能源汽车产业更是有赖于半导体产业。本次《指导意见》的出台,半导体产业将再迎利好。以下为《指导意见》全文:关于扩大战略性新兴产业投资培育壮大新增长点增长极的指导意见发改高技〔2020〕1409号国务院有关部门,各省、自治区、直辖市、新疆生产建设兵团发展改革委、科技厅(委、局)、工业和信息化委(厅)、财政厅(局):为深入贯彻落实党中央、国务院关于在常态化疫情防控中扎实做好“六稳”工作,全面落实“六保”任务,扩大战略性新兴产业投资、培育壮大新的增长点增长极的决策部署,更好发挥战略性新兴产业重要引擎作用,加快构建现代化产业体系,推动经济高质量发展,现提出如下意见:一、总体要求以习近平新时代中国特色社会主义思想为指导,全面贯彻党的十九大和十九届二中、三中、四中全会精神,统筹做好疫情防控和经济社会发展工作,坚定不移贯彻新发展理念,围绕重点产业链、龙头企业、重大投资项目,加强要素保障,促进上下游、产供销、大中小企业协同,加快推动战略性新兴产业高质量发展,培育壮大经济发展新动能。——聚焦重点产业领域。着力扬优势、补短板、强弱项,加快适应、引领、创造新需求,推动重点产业领域形成规模效应。——打造集聚发展高地。充分发挥产业集群要素资源集聚、产业协同高效、产业生态完备等优势,利用好自由贸易试验区、自由贸易港等开放平台,促进形成新的区域增长极。——增强要素保障能力。按照“资金跟着项目走、要素跟着项目走”原则,引导人才、用地、用能等要素合理配置、有效集聚。——优化投资服务环境。通过优化营商环境、加大财政金融支持、创新投资模式,畅通供需对接渠道,释放市场活力和投资潜力。二、聚焦重点产业投资领域(一)加快新一代信息技术产业提质增效。加大5G建设投资,加快5G商用发展步伐,将各级政府机关、企事业单位、公共机构优先向基站建设开放,研究推动将5G基站纳入商业楼宇、居民住宅建设规范。加快基础材料、关键芯片、高端元器件、新型显示器件、关键软件等核心技术攻关,大力推动重点工程和重大项目建设,积极扩大合理有效投资。稳步推进工业互联网、人工智能、物联网、车联网、大数据、云计算、区块链等技术集成创新和融合应用。加快推进基于信息化、数字化、智能化的新型城市基础设施建设。围绕智慧广电、媒体融合、5G广播、智慧水利、智慧港口、智慧物流、智慧市政、智慧社区、智慧家政、智慧旅游、在线消费、在线教育、医疗健康等成长潜力大的新兴方向,实施中小企业数字化赋能专项行动,推动中小微企业“上云用数赋智”,培育形成一批支柱性产业。实施数字乡村发展战略,加快补全农村互联网基础设施短板,加强数字乡村产业体系建设,鼓励开发满足农民生产生活需求的信息化产品和应用,发展农村互联网新业态新模式。实施“互联网+”农产品出村进城工程,推进农业农村大数据中心和重要农产品全产业链大数据建设,加快农业全产业链的数字化转型。(责任部门:发展改革委、工业和信息化部、科技部、教育部、住房城乡建设部、交通运输部、水利部、农业农村部、商务部、卫生健康委、广电总局、国铁集团等按职责分工负责)(二)加快生物产业创新发展步伐。加快推动创新疫苗、体外诊断与检测试剂、抗体药物等产业重大工程和项目落实落地,鼓励疫苗品种及工艺升级换代。系统规划国家生物安全风险防控和治理体系建设,加大生物安全与应急领域投资,加强国家生物制品检验检定创新平台建设,支持遗传细胞与遗传育种技术研发中心、合成生物技术创新中心、生物药技术创新中心建设,促进生物技术健康发展。改革完善中药审评审批机制,促进中药新药研发和产业发展。实施生物技术惠民工程,为自主创新药品、医疗装备等产品创造市场。(责任部门:发展改革委、卫生健康委、科技部、工业和信息化部、中医药局、药监局等按职责分工负责)(三)加快高端装备制造产业补短板。重点支持工业机器人、建筑、医疗等特种机器人、高端仪器仪表、轨道交通装备、高档五轴数控机床、节能异步牵引电动机、高端医疗装备和制药装备、航空航天装备、海洋工程装备及高技术船舶等高端装备生产,实施智能制造、智能建造试点示范。研发推广城市市政基础设施运维、农业生产专用传感器、智能装备、自动化系统和管理平台,建设一批创新中心和示范基地、试点县。鼓励龙头企业建设“互联网+”协同制造示范工厂,建立高标准工业互联网平台。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、农业农村部、国铁集团等按职责分工负责)(四)加快新材料产业强弱项。围绕保障大飞机、微电子制造、深海采矿等重点领域产业链供应链稳定,加快在光刻胶、高纯靶材、高温合金、高性能纤维材料、高强高导耐热材料、耐腐蚀材料、大尺寸硅片、电子封装材料等领域实现突破。实施新材料创新发展行动计划,提升稀土、钒钛、钨钼、锂、铷铯、石墨等特色资源在开采、冶炼、深加工等环节的技术水平,加快拓展石墨烯、纳米材料等在光电子、航空装备、新能源、生物医药等领域的应用。(责任部门:发展改革委、工业和信息化部等按职责分工负责)(五)加快新能源产业跨越式发展。聚焦新能源装备制造“卡脖子”问题,加快主轴承、IGBT、控制系统、高压直流海底电缆等核心技术部件研发。加快突破风光水储互补、先进燃料电池、高效储能与海洋能发电等新能源电力技术瓶颈,建设智能电网、微电网、分布式能源、新型储能、制氢加氢设施、燃料电池系统等基础设施网络。提升先进燃煤发电、核能、非常规油气勘探开发等基础设施网络的数字化、智能化水平。大力开展综合能源服务,推动源网荷储协同互动,有条件的地区开展秸秆能源化利用。(责任部门:发展改革委、工业和信息化部、自然资源部、能源局等按职责分工负责)(六)加快智能及新能源汽车产业基础支撑能力建设。开展公共领域车辆全面电动化城市示范,提高城市公交、出租、环卫、城市物流配送等领域车辆电动化比例。加快新能源汽车充/换电站建设,提升高速公路服务区和公共停车位的快速充/换电站覆盖率。实施智能网联汽车道路测试和示范应用,加大车联网车路协同基础设施建设力度,加快智能汽车特定场景应用和产业化发展。支持建设一批自动驾驶运营大数据中心。以支撑智能汽车应用和改善出行为切入点,建设城市道路、建筑、公共设施融合感知体系,打造基于城市信息模型(CIM)、融合城市动态和静态数据于一体的“车城网”平台,推动智能汽车与智慧城市协同发展。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、交通运输部等按职责分工负责)(七)加快节能环保产业试点示范。实施城市绿色发展综合示范工程,支持有条件的地区结合城市更新和城镇老旧小区改造,开展城市生态环境改善和小区内建筑节能节水改造及相关设施改造提升,推广节水效益分享等合同节水管理典型模式,鼓励创新发展合同节水管理商业模式,推动节水服务产业发展。开展共用物流集装化体系示范,实现仓储物流标准化周转箱高效循环利用。组织开展多式联运示范工程建设。发展智慧农业,推进农业生产环境自动监测、生产过程智能管理。试点在超大城市建立基于人工智能与区块链技术的生态环境新型治理体系。探索开展环境综合治理托管、生态环境导向的开发(EOD)模式等环境治理模式创新,提升环境治理服务水平,推动环保产业持续发展。加大节能、节水环保装备产业和海水淡化产业培育力度,加快先进技术装备示范和推广应用。实施绿色消费示范,鼓励绿色出行、绿色商场、绿色饭店、绿色电商等绿色流通主体加快发展。积极推行绿色建造,加快推动智能建造与建筑工业化协同发展,大力发展钢结构建筑,提高资源利用效率,大幅降低能耗、物耗和水耗水平。(责任部门:发展改革委、科技部、工业和信息化部、自然资源部、生态环境部、住房和城乡建设部、交通运输部、农业农村部、商务部、国铁集团等按职责分工负责)(八)加快数字创意产业融合发展。鼓励数字创意产业与生产制造、文化教育、旅游体育、健康医疗与养老、智慧农业等领域融合发展,激发市场消费活力。建设一批数字创意产业集群,加强数字内容供给和技术装备研发平台,打造高水平直播和短视频基地、一流电竞中心、高沉浸式产品体验展示中心,提供VR旅游、AR营销、数字文博馆、创意设计、智慧广电、智能体育等多元化消费体验。发展高清电视、超高清电视和5G高新视频,发挥网络视听平台和产业园区融合集聚作用,贯通内容生产传播价值链和电子信息设备产业链,联动线上线下文化娱乐和综合信息消费,构建新时代大视听全产业链市场发展格局。(责任部门:发展改革委、教育部、工业和信息化部、农业农村部、文化和旅游部、广电总局、体育总局等按职责分工负责)三、打造产业集聚发展新高地(九)深入推进国家战略性新兴产业集群发展工程。构建产业集群梯次发展体系,培育和打造10个具有全球影响力的战略性新兴产业基地、100个具备国际竞争力的战略性新兴产业集群,引导和储备1000个各具特色的战略性新兴产业生态,形成分工明确、相互衔接的发展格局。适时启动新一批国家战略性新兴产业集群建设。培育若干世界级先进制造业集群。综合运用财政、土地、金融、科技、人才、知识产权等政策,协同支持产业集群建设、领军企业培育、关键技术研发和人才培养等项目。(责任部门:发展改革委、科技部、工业和信息化部、财政部、人力资源社会保障部、自然资源部、商务部、人民银行、知识产权局等按职责分工负责)(十)增强产业集群创新引领力。启动实施产业集群创新能力提升工程。发挥科技创新中心、综合性国家科学中心创新资源丰富的优势,推动特色产业集群发展壮大。依托集群内优势产学研单位联合建设一批产业创新中心、工程研究中心、产业计量测试中心、质检中心、企业技术中心、标准创新基地、技术创新中心、制造业创新中心、产业知识产权运营中心等创新平台和重点地区承接产业转移平台。推动产业链关键环节企业建设产业集群协同创新中心和产业研究院。(责任部门:发展改革委、科技部、工业和信息化部、市场监管总局、中科院、知识产权局等按职责分工负责)(十一)推进产城深度融合。启动实施产业集群产城融合示范工程。以产业集群建设推动生产、生活、生态融合发展,促进加快形成创新引领、要素富集、空间集约、宜居宜业的产业生态综合体。加快产业集群交通、物流、生态环保、水利等基础设施数字化改造。推进产业集群资源环境设施共建共享、能源资源智能利用、污染物集中处理等设施建设。探索“核心承载区管理机构+投资建设公司+专业运营公司”建设新模式,推进核心承载区加快向企业综合服务、产业链资源整合、价值再造平台转型。推动符合条件的战略性新兴产业集群通过市场化方式开展基础设施领域不动产投资信托基金(REITs)试点。(责任部门:发展改革委、住房城乡建设部、交通运输部、水利部、证监会、国铁集团等按职责分工负责)(十二)聚焦产业集群应用场景营造。启动实施产业集群应用场景建设工程。围绕5G、人工智能、车联网、大数据、区块链、工业互联网等领域,率先在具备条件的集群内试点建设一批应用场景示范工程,定期面向特定市场主体发布应用场景项目清单,择优评选若干新兴产业应用场景进行示范推广,并给予应用方一定支持。鼓励集群内企业发展面向定制化应用场景的“产品+服务”模式,创新自主知识产权产品推广应用方式和可再生能源综合应用,壮大国内产业循环。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、能源局、知识产权局等按职责分工负责)(十三)提高产业集群公共服务能力。实施产业集群公共服务能力提升工程。依托行业协会、专业机构、科研单位等建设一批专业化产业集群促进机构。推进国家标准参考数据体系建设。建设产业集群创新和公共服务综合体,强化研发设计、计量测试、标准认证、中试验证、检验检测、智能制造、产业互联网、创新转化等产业公共服务平台支撑,打造集技术转移、产业加速、孵化转化等为一体的高品质产业空间。在智能制造、绿色制造、工业互联网等领域培育一批解决方案供应商。支持有条件的集群聚焦新兴应用开展5G、数据中心、人工智能、工业互联网、车联网、物联网等新型基础设施建设。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、商务部、市场监管总局、中科院等按职责分工负责)四、增强资金保障能力(十四)加强政府资金引导。统筹用好各级各类政府资金、创业投资和政府出资产业投资基金,创新政府资金支持方式,强化对战略性新兴产业重大工程项目的投资牵引作用。鼓励地方政府设立战略性新兴产业专项资金计划,按市场化方式引导带动社会资本设立产业投资基金。围绕保障重点领域产业链供应链稳定,鼓励建立中小微企业信贷风险补偿机制,加大对战略性新兴产业的支持力度。(责任部门:发展改革委、工业和信息化部、财政部等按职责分工负责)(十五)提升金融服务水平。鼓励金融机构创新开发适应战略性新兴产业特点的金融产品和服务,加大对产业链核心企业的支持力度,优化产业链上下游企业金融服务,完善内部考核和风险控制机制。鼓励银行探索建立新兴产业金融服务中心或事业部。推动政银企合作。构建保险等中长期资金投资战略性新兴产业的有效机制。制订战略性新兴产业上市公司分类指引,优化发行上市制度,加大科创板等对战略性新兴产业的支持力度。加大战略性新兴产业企业(公司)债券发行力度。支持创业投资、私募基金等投资战略性新兴产业。(责任部门:人民银行、银保监会、证监会、发展改革委等按职责分工负责)(十六)推进市场主体投资。依托国有企业主业优势,优化国有经济布局和结构,加大战略性新兴产业投资布局力度。鼓励具备条件的各类所有制企业独立或联合承担国家各类战略性新兴产业研发、创新能力和产业化等建设项目。支持各类所有制企业发挥各自优势,加强在战略性新兴产业领域合作,促进大中小企业融通发展。修订外商投资准入负面清单和鼓励外商投资产业目录,进一步放宽或取消外商投资限制,增加战略性新兴产业条目。(责任部门:发展改革委、工业和信息化部、商务部、国资委等职责分工负责)五、优化投资服务环境(十七)深化“放管服”改革。全力推动重大项目“物流通、资金通、人员通、政策通”。深化投资审批制度改革,推进战略性新兴产业投资项目承诺制审批,简化、整合项目报建手续,深化投资项目在线审批监管平台应用,加快推进全程网办。全面梳理新产业、新业态、新模式准入和行政许可流程,精简审批环节,缩短办理时限,推行“一网通办”。(责任部门:发展改革委牵头,各部门按职责分工负责)(十八)加快要素市场化配置。充分发挥市场在资源配置中的决定性作用,更好发挥政府作用。统筹做好用地、用水、用能、环保等要素配置,将土地林地、建筑用砂、能耗等指标优先保障符合高质量发展要求的重大工程和项目需求。加强工业用地市场化配置,鼓励地方盘活利用存量土地。(责任部门:发展改革委、自然资源部、生态环境部、住房城乡建设部、水利部、商务部等按职责分工负责)(十九)完善包容审慎监管。推动建立适应新业态新模式发展特点、以信用为基础的新型监管机制。规范行政执法行为,推进跨部门联合“双随机、一公开”监管和“互联网+监管”,细化量化行政处罚标准。(责任部门:发展改革委牵头,各部门按职责分工负责)(二十)营造良好投资氛围。各地区、各部门要积极做好政策咨询和宣传引导工作,以“线上线下”产业招商会、优质项目遴选赛、政银企对接会、高端论坛等形式加强交流合作,增强企业投资意愿,激发社会投资创新动力和发展活力,努力营造全社会敢投资、愿投资、善投资战略性新兴产业发展的良好氛围。(责任部门:发展改革委牵头,各部门按职责分工负责)国家发展改革委科技部工业和信息化部财政部2020年9月8日
  • HORIBA Scientific新品系列(四):薄膜精准测量新突破
    薄膜分析专家,可精确分析薄膜各种物理、光学特性,轻松获取如下信息:● 厚度(低至1A) ● 折射率● 消光系数● …… 您也可以向我们索取产品报价、样本关应用资料超高性能的仪器,是薄膜研究佳选择! 可测低至单原子层厚度样品 可测低衬度样品,如硅上氧化硅等 高准确性、高稳定性,实验结果真实可靠,更具信服力 190nm-2100nm宽光谱范围,材料研究范围更加广阔,为您的研究方向提供无限空间先进设计理念,操作更轻松,功能更强大! 微光斑可视系统,8个尺寸可选,复杂形貌样品精准轻松定位 全自动化设计,减少人为操作失误 操作简单,初学者也可快速掌握 强大的软件平台,完美集成数据采集与建模拟合功能,满足资深科研人员的使用要求● TFT-LCD● PDP● LED, ELD, OLED● 柔性显示器● 晶体管● 高k、低k材料● 光刻胶● 数据存储● II-VI, III-V 和硅太阳能电池● 有机太阳能电池● TCO● 石墨烯, 碳纳米管● 纳米结构● 有机膜下载新的《光谱系列丛书 入门手册》关注我们邮箱:info-sci.cn@horiba.com新浪官方微博:HORIBA Scientific微信二维码:
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。 图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
  • 我国学者成功开发新型5 nm超高精度激光光刻加工方法
    p 近日,中国科学院苏州纳米技术与纳米仿生研究所张子旸研究员与国家纳米中心刘前研究员合作,在NanoLetters上发表了研究论文,报道了一种他们开发的新型5nm超高精度激光光刻加工方法。   /pp  据悉,研究团队设计开发了一种新型三层堆叠薄膜结构。在无机钛膜光刻胶上,采用双激光束交叠技术,通过精确控制能量密度及步长,实现了1/55衍射极限的突破,达到了最小5nm的特征线宽。/pp  此外,研究团队利用这种超分辨的激光直写技术,实现了纳米狭缝电极阵列结构的大规模制备。同时,该团队还利用发展的新技术制备出了纳米狭缝电极为基本结构的多维度可调的电控纳米SERS传感器。/pp  值得一提的是,研究团队所开发的具有完全知识产权的激光直写设备,利用了激光与物质的非线性相互作用来提高加工分辨率,其有别于传统的缩短激光波长或增大数值孔径的技术路径;并打破了传统激光直写技术中受体材料为有机光刻胶的限制,可使用多种受体材料,极大地扩展了激光直写的应用场景。/pp  目前,该工作得到了国家重点研究计划项目、国家自然科学基金、Eu-FP7项目、中国博士后科学基金的支持。/p
  • 成果速递 | 小型无掩膜光刻直写系统(MicroWriter)在复旦大学包文中教授课题组的最新研究应用
    文章导读复旦大学微电子学院包文中教授课题组主要的研究领域包括二维层状材料的能带调控、器件工艺及应用,包括二硫化钼(MoS2),黑磷等。近日,其课题组利用小型台式无掩膜光刻直写系统(MicroWriter ML3)在新型二维层状材料MoS2的器件制备、转移和应用等方面取得了一系列瞩目的研究成果。 引言随着电子信息产业的高速发展,集成电路的需求出现了井喷式的增长。使得掩膜的需求急剧增加,目前制作掩膜的主要技术是电子束直写,但该制作效率非常低下,并且成本也不容小觑,在这种背景下人们把目光转移到了无掩膜光刻技术。英国Durham Magneto Optics公司致力于研发小型台式无掩膜光刻直写系统(MicroWriter ML3),为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。传统的光刻工艺中所使用的铬玻璃掩膜板需要由专业供应商提供,但是在研发过程中,掩膜板的设计通常需要根据实际情况多次改变。无掩膜光刻技术通过以软件设计电子掩膜板的方法,克服了这一问题。与通过物理掩膜板进行光照的传统工艺不同,激光直写是通过电脑控制DMD微镜矩阵开关,经过光学系统调制,在光刻胶上直接曝光绘出所要的图案。同时其还具备结构紧凑(70cm x 70cm x 70cm)、高直写速度,高分辨率(xy:1 μm)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。 正文包文中教授课题组利用小型台式无掩膜光刻直写系统(MicroWriter ML3)在新型二维层状材料MoS2的器件制备、转移和应用等方面取得了一系列瞩目的研究成果。(一) SMALL: 高性能的具备实际应用前景的晶圆MoS2晶体管 原子层的过渡金属二硫化物(TMD)被认为是下一代半导体器件的重要研究热点。然而,目前大部分的器件都是基于层间剥离来获取金属硫化物层,这样只能实现微米的制备。在本文中,作者提出一种利用化学气相沉积(CVD)制备多层MoS2薄层,进而改善所制备器件的相关性能。采用四探针法测量证明接触电阻降低一个数量。进一步,基于该法制备的连续大面积MoS2薄层,采用小型无掩膜光刻直写系统(MicroWriter ML3)构筑了栅场效应晶体管(FET)阵列。研究表明其阈值电压和场效应迁移率均有明显的提升,平均迁移率可以达到70 cm2v-1s-1,可与层间剥离法制备的MoS2 FET好结果相媲美。本工作创制了一种规模化制备二维tmd功能器件和集成电路应用的有效方法。 图1. (a-e) 利用CVD法制备大面积多层MoS2的原理示意及形貌结果。(g, h, i, j) 单层MoS2边界及多层MoS2片层岛的AFM测试结果,拉曼谱及光致发光谱结果 图2. 利用无掩膜激光直写系统(MicroWriter)在MoS2薄层上制备的多探针(二探针/四探针)测量系统,以及在不同条件下测量的接触电阻和迁移率结果。证明所制多层mos2的平均迁移率可以达到70 cm2v-1s-1 图3. 利用无掩膜光刻直写系统(MicroWriter)制备的大面积规模MoS2 FET阵列,及其场效应迁移率和阈值电压的分布性测量结果,证明该规模MoS2 FET阵列具备优异且稳定的均一特性 (二) Nanotechnology: 用于高性能场效应晶体管的晶圆可转移多层MoS2的制备 利用化学气相沉积(CVD)制备半导体型过渡金属二硫化物(TMD)是一种制备半导体器件的新途径,然而实现连续均匀的多层TMD薄膜制备仍然需要克服特殊的生长动力学问题。在本文中,作者利用多层堆叠(layer-by-layer)及转移工艺,制备出均匀、无缺陷的多层MoS2 薄膜。同时,利用无掩膜光刻直写系统(MicroWriter)在其基础上制备场效应晶体管(FET)器件。分别实现1层、2层、3层和4层MoS2 FRT的制备,并深入研究不同条件下器件的迁移率变化,终发现随着MoS2 堆叠层数的增加,电子迁移率随之增加,但电流开关比反而减小。综合迁移率和电流开关变化,2层/3层MoS2 FET是优设计器件。此外,双栅结构也被证明可以改善对多层mos2通道的静电控制。 图4 (a) 多层MoS2 结构的制备/转移流程示意;(b) 单层/双层MoS2 薄膜的光学形貌;(c) 双层MoS2 薄膜的afm表面形貌结果;(d) 单层/双层MoS2 薄膜的拉曼谱结果 图5 (a) 背栅MoS2 FET阵列制备流程示意;(b) 利用无掩膜激光直写系统(MicroWriter)制备的MoS2 FET器件的表面光学形貌(利用MicroWriter特有的虚拟掩膜对准技术(VMA),可以高效直观地在感兴趣区域实现图形曝光);(c-f) 不同结构的MoS2 FET器件的输出特性及转移特性测量结果 图6 (a) 利用MicroWriter在Si/SiO2晶圆上制备的大范围MoS2 FET器件阵列,其中包含1层和2层MoS2;(b) 相应阵列区域的迁移率和阈值电压分布结果,证明其优异的均一特性图7 (a) 双栅MoS2器件的结构原理;(b) 利用无掩膜激光直写系统(MicroWriter)制备的大面积双栅MoS2器件的形貌结果;(c, d) 2层MoS2双栅器件的电学测量结果。相关参考:1. high-performance wafer-scale mos2 transistors toward practical application. small 2018, 18034652. wafer-scale transferred multilayer mos2 for high performance field effect transistors. nanotechnology, 2019, 30,174002
  • 再交付2套!小型台式无掩膜直写光刻系统顺利落户,无需掩膜版,更高效!
    近日,由英国科学院院士Russell Cowburn教授团队研制的小型台式无掩膜直写光刻系统(Durham Magneto Optics, MicroWriter ML3)分别落户中科院沈阳金属所和合肥师范学院,将助力国内各科研院所在新型材料加工、微纳电子、光机电、微流控等诸多重点研究领域取得近一步发展。小型台式无掩膜直写光刻系统(MicroWriter ML3)进入国内科研领域已有十年时间,在国内约有200台设备安装落户。凭借小巧紧凑的结构(70 cm x 70 cm x 70 cm)、友好的操作系统、简单的维护需求、超高的直写速度,特别是无需掩膜版即可直写曝光的特点极大地优化了设计成本和研究效率,深受广大科研用户的喜爱。在小型台式无掩膜直写光刻系统MicroWriter优秀表现和Quantum Design中国全博士售后工程师团队的努力下,清华大学、北京大学、中国科技大学、南京大学、复旦大学、中科院等重点高校和研究机构已复购多台小型台式无掩膜直写光刻系统MicroWriter,成为MicroWriter的“回头客”。图1中科院沈阳金属所安装的配备0.4 μm镜头的MicroWriter旗舰型无掩膜光刻机 近日,中科院沈阳金属所成功安装了第一套小型台式无掩膜直写光刻系统MicroWriter ML3。结合新硬件配置,该系统可以实现0.4 μm的极限分辨率,同时拥有包括0.4 μm、0.6 μm、1 μm、2 μm和5 μm五种特征分辨率镜头,可以实现不同精度下的快速曝光应用。结合无掩膜版图设计,科研人员可以随时尝试修改曝光图形,并可以通过设备特有的虚拟掩膜(Visual Mask aligner)功能实现实时对准观测(如图2所示),极大地提高了科研工作的时效性和便捷性。图2. (左)虚拟掩膜对准的实时界面(蓝色区域是要曝光的电极图案)及(右)终曝光显影结果图3. 0.6 μm宽度的线条阵列曝光结果及局部细节图4. 0.4 μm孔径的点阵曝光结果及局部细节同时,合肥师范学院根据自身教学与科研的需要选择了小型台式无掩膜直写光刻系统Baby Plus型号。相比于功能全面的MicroWriter旗舰机型,Baby Plus着重于客户的基本需求。Baby Plus配备有1 μm和5 μm两个精度的镜头,可以满足大部分的科研需求。图5. Quantum Design工程师为合肥师范学院师生进行无掩膜光刻机操作培训这次在合肥师范学院安装的MicroWriter Baby Plus配备的是405 nm波长光源,特别适用于在正性光刻胶上制备二维微纳结构和三维灰度结构,助力客户在微纳机电,微纳光学等领域的研究以及小批量的试产。Baby Plus也可升级成365 nm波长光源或365 nm-405 nm波长双光源,方便研究人员制备以负性光刻胶为主的结构,满足客户的各种需求。图6.左图为利用405nm光源制备的微纳电极图形,右图为三维灰度图形小型台式无掩膜直写光刻系统MicroWriter的广泛应用在助力国内科研发展的同时,也在全球其他知名单位获得持续应用和好评,包括斯坦福大学、东京大学、新加坡国立大学、伯克利大学(UC Berkeley)和美国航天局(NASA)等,证明了国内外研究单位对其广泛应用及可靠性的认可。
  • 群贤毕至!第十三届微光刻技术交流会在青岛成功召开
    仪器信息网讯 8月29日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第四届微光刻分委会年会暨第十三届微光刻技术交流会在青岛成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分会秘书处和青岛市城阳区人民政府主办,青岛天仁微纳科技有限责任公司承办。会议吸引了业界三百余位资深专家及企业代表参会。 会议现场大会开幕式由微光刻分技术委员会主任委员冯稷主持,青岛轨道交通产业示范区工委委员、管委副主任矫鲲,青岛轨道交通产业示范区管委招商部部长刘新歧,青岛天仁微纳科技有限责任公司董事长冀然,全国半导体设备和材料标准化技术委员会微光刻分会秘书长陈宝钦分别致辞。微光刻分技术委员会主任委员 冯稷 主持开幕式青岛轨道交通产业示范区工委委员、管委副主任 矫鲲 致辞青岛轨道交通产业示范区管委招商部部长 刘新歧 致辞青岛天仁微纳科技有限责任公司董事长 冀然 致辞全国半导体设备和材料标准化技术委员会微光刻分会秘书长 陈宝钦 致辞致辞结束后,大会进入2023年度微光刻技术交流会环节。大会首日交流会环节邀请了21位业界专家依次分享报告,对微光刻技术及应用、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。报告人:湖南大学 陈艺勤副教授报告题目:《力学辅助光刻及其应用》面临极端加工要求,仅仅依靠常规的微光刻技术面临难加工材料、难加工结构、难加工基底等加工难题。针对于此,陈艺勤所在课题组提出力学辅助光刻技术,人为地通过结构设计或添加外场等方式放大微光刻工艺过程中结构内部或结构之间的相互作用;通过人为施加的力学手段来代替或者补充微光刻技术的某一个或某几个工艺环节。报告中,陈艺勤介绍了其所在课题组利用高分辨的力学辅助光刻技术,围绕材料、工艺、应用三个方面开展的系列工作。报告人:苏州大学 陈林森教授报告题目:《微纳光子制造:赋能创新的引擎》陈林森教授三十年来从全息光学到微纳光学迈向光子制造,先后获得3项国家科技进步二等奖;5项江苏省科技奖一等奖;6项中国专利优秀奖。21世纪是“追光”的世纪,谁率先攻克大面积微纳结构功能化难题,谁将在光子领域处于主动地位。但传统光刻技术难以解决大面积光子器件的制备难题,已有图形化技术难以加工复杂微纳结构。面向科技前沿与重大需求,需要确立“更好的解决方案”。自主可控光子技术,对新材料、新装备、新器件的可控性与安全性意义重大。针对于此,陈林森教授基于光场重构、智能计算、数字化光刻与柔性纳米压印,构成了“微纳光制造”底层关键技术,推出了一系列产业化的产品和设备。报告人:中国科学院上海高等研究院X射线光学技术实验室副主任 吴衍青研究员报告题目:《SSRF-XIL线站EUV光刻胶光刻性能检测技术进展》我国尚处于EUV光刻核心关键技术攻关阶段,国内EUV光刻胶的研发尚属于起步阶段。光刻胶的光刻性能检测是光刻胶研发的必要条件,而13.5nm在波长检测是衡量光刻胶曝光性能最准确的检测方法。曝光后可以获得光刻胶的三个主要参数:分辨率、灵敏度和边缘粗糙度。光刻胶研发过程中需多次迭代、检测,获得最佳曝光性能。吴衍青表示,同步辐射EUV干涉光刻是业界公认的检测方法。当前上海光源已为国内多所高校/研究所/企业单位提供光刻胶性能检测支持,取得了丰硕的成果。报告人:神光光学集团有限公司首席科学家 曹海平院长报告题目:《神光光学用于微光刻的玻璃材料和元件》高纯石英性能优良被称为“玻璃之王”,石英玻璃具有比其它以二氧化硅为骨架的如钠钙硅玻璃、硼硅玻璃、普通光学玻璃等混合物玻璃无法比拟的独特和优异性能,尤其透明石英玻璃的光学性能非常优异,在紫外到红外辐射的连续波长范围都有优良的透射比。曹海平在报告中对比了海内外主流厂商的工艺,并介绍了神光光学的六大特色生产工艺:国内首创立式单灯闭式沉积装置,集成原料预处理、反应合成和适应生长三大模块;超精准燃烧管控和液态物蒸发创造了恒定的流场,确保高纯度;优异的燃烧器热场匹配先进的沉积炉温场,形成最佳的合成界面和产品截面;先进的自动化控制的适应生长获得长度向一致性及轴对称性;首创通过槽沉热成型抑制横向延展后的缺陷分布和改善二次缺陷;自主研发多级精密退火工艺减少了应力影响。之后,曹海平介绍了神光光学石英玻璃的应用、产品关键指标等信息。报告人:青岛天仁微纳科技有限责任公司事业发展经理 Massimo Tormen报告题目:《Manufacturing advanced photonic devices needs reliable nanoimprinting lithography solutions》在可预见的未来,光子器件正在并将在我们的社会中大规模使用。纳米压印技术与其他技术相比具有竞争优势。Massimo Tormen 表示,与其他现有复制技术不同,纳米压印(NlL)技术结合了高分辨率、2.5D图案化能力的特点,吞吐量大,需要的投资和运行成本适中;与DUV和EUV光刻相比,因为NIL的缺陷率更高,目前电子工业不使用NIL技术,但光子学应用的缺陷容忍度略高,这使NlL有机会成为先进光子器件的首选制造技术,因为其他竞争先锋发挥着更大的作用(分辨率、吞吐量、成本、2.5D图案化能力等);NIL可以在许多光子应用中赢得与投影光刻的竞争优势。目前天仁微纳的UV-NIL技术越来越成熟。报告人:海德堡仪器公司Nano AG 杨菲博士报告题目:《NanoFrazor—A versatile Nanopatterning Tools》海德堡仪器的杨菲博士在报告中介绍了一种可应用于纳米尺度科学研究的纳米制造技术—纳米扫描热探针直写技术。据介绍,海德堡的相关产品NanoFrazor具有高分辨率纳米光刻15 nm横向分辨率,2 nm垂直分辨率(2.5灰度);高分辨率地形成像;混搭光刻;无标记覆盖和现场缝合(精度25 nm);非侵入性(无带电粒子束,无口罩接触,无接近效应);兼容多种材料和手套箱操作。报告人:锐时科技(北京)有限公司副总经理 朱国先生报告题目:《Raith Nanofabracation Application Updates 2023》本次微光刻年会,锐时科技带来了超高性能电子束光刻系统EBPG Plus、VOYAGER 高性能电子束曝光系统、FIB-SEM系统VELION、CHIPSCANNER 高分辨率电子束曝光机和激光光刻解决方案PICOMASTER。由于时间关系,朱国先生主要介绍了超高性能电子束光刻系统EBPG Plus。据了解,EBPG Plus是一种超高性能电子束光刻系统,100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。报告人:Genlsys公司亚太总监 陈利奇先生报告题目:《GenlSys Update 2023》陈利奇主要介绍了GenlSys的五类产品。据了解,GenlSys的电子和激光束直接写入软件是高斯光束直写系统的市场领导者,安装在全球大多数主要的纳米制造中心,已成为先进电子束光刻的必备品;蒙特卡罗模拟软件可以进行电子束光刻建模与校正中电子分布的MC模拟,可完成过程校准、PSF可视化、提取和管理;3D光刻模拟和OPC软件覆盖了接触式光刻(掩模对准器)和投影光刻(步进器/扫描仪),电子束光刻和激光直写光刻(海德堡仪器激光系统);SEM图像分析与计量是一款可用于基于SEM的计量和检验的计量软件;掩模版生产软件是用于掩模室的专用MDP,高性能(层次结构、并行处理、掩模过程校正…)等。报告人:清华大学 刘泽文教授报告题目:《光刻技术回顾与展望》刘泽文教授主要在报告中回顾了光刻技术的起源、发展与展望。刘泽文教授表示,微光刻技术不仅是人类科技文明的集大成,也是科学技术和现代企业、政府协同推进实现技术进步的典范。EUV光刻机设备本质上是一台基于物理原理的科学工具,而不是普通的机械设备,是高投入、多学科、多技术、多企业、有组织协同发展的结果。在中国这样的国家,有一家甚至两家以上的机构组织进行EUV光刻研发是很有必要的,不仅符合中国的国家利益,也符合人类利益。任何形式的垄断,总是不好的。在重视EUV光刻的同时,需要在新的方向上进行探索,保持创新力,寻找突破点。EUV微光刻技术值得微光刻技术标准化分委员会专家们关注。报告人:中国科学院微电子研究所 何萌报告题目:《集成电路产教融合实训装备与教学实践》集成电路是制造业的最高端,其多步工艺、精细加工、复杂、环境要求高、资金密度高;是精密光学、等离子体物理、磁学、精细化学、数学模型、材料科学等多种学科融合学科;也是精密机械、光学工程、电控技术、软件、温度控制技术等多种技术集成;其技术更新快,每18个月更新一代。但当前集成电路学科实验教学面临诸多难点。针对于此,夏洋等团队设计了系列课程,筹备建设了集成电路学科平台。何萌认为,产教融合需要高校和企业联合开设课程,定向培养高端专业工程性人才,形成集成电路产教融合教学联盟。报告人:中国科学院长春光学精密机械与物理研究所 魏鸿达报告题目:《大幅面微纳结构的光学表面制造技术研究》据介绍,科技部某项目需研制600*300mm*40mm位相板,以此实现以3.1米主镜为基准,两次装调实现5镜共基准。由于600mm超过设备运动范围(400mm)限制,需要开发激光直写远距离高精度拼接曝光技术。报告中,魏鸿达介绍了拼接方案,第一步完成450*300mm图案加工,经显影、刻蚀、再次涂胶后,第二次曝光完成第二部分加工;两次摆放基板会造成坐标系破坏,产生拼接误差,需重构坐标系,降低误差,大尺度多范围设定靶标;精准提取十字刻线质心,数据拟合修正,以基板靶标重建坐标系,实现在同一坐标系下两次直写。此外,魏鸿达还介绍了离子束刻蚀技术以及相关应用拓展。报告人:中国科学院光电技术研究所 胡松研究员报告题目:《面向广义芯片的光刻技术与装备》广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。随着信息时代的发展,非IC广义芯片的应用十分广泛,需求量十分巨大;针对广义芯片的光刻机需要适应大量非IC标准要求,目前尚未形成垄断,国内具有实现自主可控的能力;国内相关单位需要把握当前窗口,形成面向广义芯片光刻设备的研发与应用链条,解决相关行业自主可控问题。报告人:江苏长进微电子材料有限公司总经理 王凯先生报告题目:《新型高分辨率电子束光刻胶(用于多层结构和灰度光刻)》江苏长进微电子材料有限公司成立于2021年,专业从事半导体光刻胶产品的研发、生产和销售。公司的产品系列完整,产品应用领域涵盖集成电路 (IC)、发光器件 (LED) 、分立器件 (Transistor) 、先进封装(WLCSP,Bumping,FO-WLP,Chiplet)、微机电系统 (MEMS) 、掩膜版 (Mask) 等。报告中,王凯介绍了长进微电子的产品分类、技术路线、电子束胶在多层结构和灰度光刻中的应用等。报告人:长飞石英技术 (武汉) 有限公司销售副总监 肖畅先生报告题目:《长飞石英-微光刻用合成石英材料开发进展与应用》长飞石英基于30余年的光纤预制棒合成石英沉积、热处理等工艺的深入研发与制备经验开发出多种石英制备技术,并建立了全面的石英材料检测平台。长飞合成石英材料,为光学、半导体、光通信等多个行业领域,提供高品质石英材料产品。依托于先进的检测设备与专业的检测能力,长飞石英检测中心可对石英材料的各类光学特性与参数,进行全方位深入测试,为产品研发与交付提供质量保障。报告人:矽万 (上海)半导体科技有限公司 陈硕先生报告题目:《基于3D光刻的曲面衬底非球面微透镜阵列》变焦复眼具有体积小、视场角大、灵敏度高等优点,非常适用于高性能的光电探测器、光场相机等。得益于3D光刻技术设计自由度高、幅面大、粗糙度低以及保真度高等优点制得了人工超复眼结构。该结构实现了信息共享功能:由于光敏单元的独特结构,能够实现不同光敏单元对物体信息的共同成像;变焦功能: 人工超复眼作为由五种不同焦距子眼组成的复眼,能够感知不同焦平面上的物体;大视场角: 由于在曲面上制备了大量的光敏单元,因此人工超复眼的视场角比在平面上制备的微透镜阵列更大,测试结果显示人工超复眼可工作范围视场角约为62°;超疏水微透镜阵列:在复眼结构中增加超疏水结构,使得在高湿度环境仍具有良好的成像功能。基于信息共享功能与变焦功能,人工超复眼不仅可以在曲面上实现变焦成像,也可以在平面上实现变焦成像。相信这种具有新颖结构的微光学元件为制造具有高光学性能的小型化设备提供了新思路。报告人:苏州锐材半导体有限公司销售经理 江茜女士报告题目:《SOI晶圆和其它晶圆键合新材料》SOI技术是在顶层硅和背衬底之间引入了一层埋氧化层。被称为“二十一世纪的微电子技术”。目前全球制造SOl晶圆的技术主要有四种:注入氧分离技术 (Separation by lmplanted Oxygen,SIMOX)、键合回刻技术 (Bond and Etch-back SOl,BESOI)、智能剪切技术 (smart- Cut )和无研磨基台影响CMP技术 (GCIF: Grinding Chuck Impact Free)。江茜女士在报告中介绍了苏州锐材 SOI核心技术产品、新的晶圆键合材料、SOI主要应用等内容。报告人:深圳清力技术有限公司实验平台负责人 潘旭捷先生报告题目:《深圳超滑技术实验平台微纳米工艺介绍》结构超滑是指两个固体表面直接接触做相对滑移运动时,摩擦极低、磨损为零的状态。结构超滑的初步概念最早可追溯到上世纪八九十年代。之后,郑泉水课题组于2002年预言第一个超滑器件,荷兰Frenken院士于2004年第一次在极端条件下观测到纳米尺度的超滑现象。2012年,郑泉水课题组首次在大气环境下实现了微米尺度的结构超滑,标志着结构超滑技术的诞生。报告中,潘旭捷介绍了结构超滑技术的原理、在微纳米器件中的应用和深圳结构超滑技术实验平台。报告人:纳糯三维科技 (上海) 有限公司总经理 崔万银博士报告题目:《双光子灰度光刻技术在微光学器件中的应用》Nanoscribe的双光子灰度光刻激光直写技术(2GL ®)可用于工业领域2.5D微纳米结构原型母版制作。2GL通过创新的设计重新定义了典型复杂结构微纳光学元件的微纳加工制造。该技术结合了灰度光刻的出色性能,以及双光子聚合的亚微米级分辨率和灵活性。报告中,崔万银介绍了相关技术在硅片上3D加工、光纤端面加工和硅光芯片上的3D加工的应用。报告人:苏州美图半导体技术有限公司总经理 王云翔先生报告题目:《美图&研材工艺介绍》王云翔是美图半导体和研材微纳的创始人。报告中,王云翔介绍了美图&研材的业务架构,键合机、喷胶机、光刻机等产品及其在纳米森林、深结构刻蚀、金属微结构、薄膜器件、生物芯片、量产芯片等方面的应用。报告人:福建省水电科学研究院 刘辉文报告题目:《电位限制式电子束投影光刻技术的新进展》刘辉文在去年报告成果的基础上进行了进一步的研究,电位限制式电子束投影光刻技术进行了分辨率为20nm图形的仿真曝光,并在新型掩模下方0.8um处汇聚形成图形,图形中心线条粒子分布呈类高斯分布。通过增加带电层与原来静电场共同形成柱状透镜,使穿过掩蔽层图形缝隙的电子束汇聚。解决了电子散射问题,使电子能够在远离掩蔽层的位置曝光,解决了采用电位限制式电子束投影光刻技术实用化的问题。电位限制式电子束投影光刻技术能够解决原有电子束投影光刻技术的问题,结合其他技术能够解决电子束投影光刻技术实用化问题。通过本次电磁仿真和计算,从理论上验证了新型电位限制式电子束投影光刻技术的可行性和实用性,为以后实物验证做了前期准备,朝着制造高分辨率的电子束投影光刻系统又前进了一步。
  • 芯源微:前道涂胶显影机可与ASML等光刻机联机应用
    近日,芯源微披露投资者关系活动记录表指出,公司前道涂胶显影机与国际光刻机联机的技术问题已经攻克并通过验证,可以与包括ASML、佳能等国际品牌以及国内的上海微电子(SMEE)的光刻机联机应用。芯源微表示,涂胶显影机在Iline、KrF、向ArF等技术升级的过程中,主要技术难点在于涂胶显影机结构复杂,运行部件多。研发升级在技术上有很大的跨度,主要体现在颗粒污染物的控制方面,例如烘烤精 度、多腔体的一致性及均匀性、不同光刻胶的涂胶显影工艺精 细化控制,以及设备整体颗粒污染物控制等。据悉,当前,全球半导体设备市场的主要份额基本被国外厂商占据,如美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等,为了突破这一卡脖子技术,近年来,国产半导体企业亦在奋力追赶,希望尽早实现国产替代。资料显示,芯源微成立于2002年,是由中科院沈阳自动化研究所发起创建的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务。图片来源:芯源微公告芯源微产品广泛应用于半导体生产、高端封装、MEMS、LED、OLED、3D-IC TSV、PV等领域,产品包括光刻工序涂胶显影设备和单片式湿法设备,可用于8/12英寸单晶圆处理及6英寸及以下单晶圆处理。目前,芯源微的主要客户包括中芯国际、华力微电子、长江存储、台积电、华为、上海积塔、株洲中车、青岛芯恩、长电科技、通富微电、华天科技、晶方科技、华灿光电、乾照光电、澳洋顺昌等半导体知名厂商。作为芯源微的标杆产品,光刻工序涂胶显影设备成功打破国外厂商垄断并填补国内空白,其中,在集成电路前道晶圆加工环节,作为国产化设备已逐步得到验证,实现小批量替代;在集成电路制造后道先进封装、化合物、MEMS、LED 芯片制造等环节,作为国内厂商主流机型已广泛应用在国内知名大厂,成功实现进口替代。新华社此前报道,芯源微产品在匀胶显影技术领域居国内第一,达到国际先进水平。芯源微在记录表指出,公司现有的厂区已经是满负荷运转,同时新厂房也在建设当中,按照计划将于2021年4季度投入使用,届时对公司产能提升会起到非常大的作用。
  • 历经波折 上海新阳终于收到ASML-1400光刻机
    3月8日,上海新阳半导体材料股份有限公司发布公告,披露了关于购买ASML-1400光刻机的最新进展。据介绍,上海新阳自立项开发193nmArF干法光刻胶的研发及产业化项目以来,安排购买了ASML-1400光刻机等核心设备,并于2020年12月14日披露,该光刻机将于2020年底前运抵国内。不过此后,由于上海新阳与光刻机供应商、北方集成电路技术创新中心(毕竟)有限公司在沟通协调设备运输与安装等细节方面遇到波折,光刻机设备没能在规定时间内运达。随后,双方就具体合作细节签署了《合作框架协议》,预计该光刻机将于2021年3月底前进入北方集成电路现场。现经各方积极协商、运作,这台光刻机设备于今日已进入北方集成电路技术创新中心(北京)有限公司的场地,后续将进行安装调试等相关工作。上海新阳表示,采购的ASML干法光刻机设备顺利交付,对加快193nm ArF干法光刻胶产品开发进度有积极影响,有利于进一步提升公司光刻胶产品的核心竞争力,加快落实公司发展战略,提高公司抗风险能力和可持续发展能力。不过,这台光刻机尚须经过装机、调试等相关环节,如果出现工作疏漏或失误,则存在造成光刻机投入使用过程较长,甚至无法投入使用的风险。另外,光刻胶研发项目技术壁垒高、周期长,投入产业化并最终实现销售利润,仍需一定时间,而且价格昂贵,其折旧及后续维护费用预计对公司的经营业绩存在一定影响。
  • 三星旗下Semes成功开发ArF-i光刻涂胶/显影设备
    三星电子旗下的晶圆厂设备公司Semes成功开发出一种ArF-i浸润式光刻涂胶/显影设备。该公司6月24日表示,第一台名为“Omega Prime”的设备已于去年供货,Semes正在制造第二台设备。迄今为止,韩国芯片制造商在设备方面严重依赖外国进口,三星每年花费达数千亿韩元。据了解,涂胶设备用于曝光前,对晶圆进行光刻胶涂布。在完成光刻后,需由显影设备进行光刻图案的显影。Semes目前已制造出KrF光刻涂胶/显影设备,并在此基础上开发了ArF版本,以支持波长更短的新型光刻机。据业界报道,目前东京电子(Tokyo Electron)拥有ArF-i光刻涂胶/显影设备全球90%以上的市场份额。Semes表示,在Omega Prime设备上应用了喷嘴、烘烤温度和机器人位置自动调整系统,以消除涂布层的偏差。
  • 促交流,共进步——第七届国际先进光刻技术研讨会成功召开
    仪器信息网讯 2023年10月26日,第七届国际先进光刻技术研讨会(IWAPS 2023)在浙江丽水成功召开。本次会议由中国集成电路创新联盟,中国光学学会主办;中国科学院微电子研究所和丽水经济技术开发区管理委员会承办;浙江富浙资本管理有限公司、广东省大湾区集成电路与系统应用研究院、南京诚芯集成电路技术研究院协办。仪器信息网作为大会合作媒体特对本届会议进行报道。IWAPS会议现场IWAPS为来自国内外半导体工业界、学术界的资深技术专家和优秀研究人员等提供了一个技术交流平台,参会者就材料、设备、工艺、测量、计算光刻和设计优化等主题分享各自的研究成果,探讨图形化解决方案,研讨即将面临的技术挑战。会议吸引了国内外业界数百位资深专家及企业代表参会。中国光学学会光刻技术专业委员会秘书长韦亚一 主持并致辞中国光学学会光刻技术专业委员会秘书长韦亚一研究员主持开幕并首先致辞。韦亚一表示,本次国际先进光刻技术研讨会(IWAPS)由中国集成电路创新联盟和中国光学学会联合主办,旨在促进学术与行业的交流与合作。在过去几年中,国际先进光刻技术研讨会取得巨大成功,来自世界各地的专家学者提交的报告和文章数量逐渐增多,同时他们也为大会带来了许多精彩报告,报告涵盖了光刻技术的最新进展、研究成果和未来发展趋势,为推动光刻技术的发展和应用提供了思路。最后韦亚一对到场嘉宾和参会者表示感谢,并预祝本次大会圆满成功。中国集成电路创新联盟理事长曹健林 致辞中国集成电路创新联盟理事长曹健林在致辞中分享三点感受。首先,本次会议选择在远离中心城市的丽水市召开,说明了集成电路产业已经在中国广泛渗透,未来可能会深入到各个产业,甚至走得更远、更深;其次,看到如此多的参会者参与本次会议,也说明了大家对这一领域技术充满兴趣,都愿意学习和了解,也标志着中国集成电路技术正在不断进步和发展;最后,中国要实现再全球化,需要产业链的各个环节共同努力。在此基础上,中国有能力定义自己的芯片,定义自己的国家,定义自己的应用目标。国际欧亚科学院院士叶甜春 致辞国际欧亚科学院院士叶甜春表示,会议秉承一个理念,即在当前制造阶段,我们需要综合性的解决方案,而很难再通过单一的技术层面来分享。在整个制造过程中,都是围绕图形来进行的,因此凡是与图形生成相关的领域都会被纳入到会议中。其次随着会议的届数不断增加,文章和参会者数量也在不断增长。许多海外学者也愿意进行科技合作和学术交流,并对这些海外学者表示热烈的欢迎。中国光学学会秘书长刘旭 致辞中国光学学会秘书长刘旭谈到,许多重要的行业,如集成电路(IC)等,都与我们的生产生活息息相关。基于此,中国集成电路创新联盟和中国光学学会联合举办了IWAPS,以鼓励和推动这些产业之间的交流与合作。同时,本届会议也得到了高层领导、赞助商和地方政府的鼎力支持,特别是国际高层领导,这也进一步加强了国家学术交流。中共丽水市委常委、常务副市长楼志坚 致辞中共丽水市委常委、常务副市长楼志坚在致辞中介绍了丽水市的特色,并提到此次会议选择在丽水召开,一方面说明了集成电路产业的蓬勃发展,国家科技、经济的强盛发展潜力。另一方面,丽水市具备一定产业基础,同时经济发展迅速、交通便利,为行业的发展提供了动力。最后希望与会者在参会之余,能够感受到丽水的独特魅力,未来将更多的人才、项目等汇集于此,共同推动集成电路产业的发展。丽水经济技术开发区党工委书记、管委会主任刘志伟 致辞丽水经济技术开发区党工委书记、管委会主任刘志伟在致辞中介绍了丽水半导体产业发展情况,并表示丽水经开区已形成了以硅基原材料加特种材料为基础,延伸至半导体设备、设计封装设施等全产业链,形成紧密协作的集聚发展态势和开放包容富有活力的产业链生态。刘志伟表示,希望通过此次会议加大研学深入,推进招商进度,延伸合作力度,政府将以最大政策与各企业家共同携手推进半导体产业的发展,让丽水的产业能够成为全国集成电路发展中新生力量。程序委员会主席顾问李序武 致辞程序委员会主席顾问李序武补充道,此次会议提交的技术论文和报告的数量对比之前有着显著的提高,这些报告充分展示了来自国内外的专家学者的最新研究成果。同时李序武对这些学者表示感谢,他谈到,正是每一位学者的不懈努力和持续追求,才能够在技术领域取得重大突破的关键,希望通过研讨会建立深厚的友谊,为未来合作交流打好基础。报告人:Yasin Ekinci (Paul Scherrer Institute) 报告题目:EUV Coherent Scattering and Imaging for Semiconductor MetrologyEUV光刻是半导体制造中的领先技术,主要因其具有短波长的优势,可以为未来的技术节点实现高分辨率的图案化。尽管如此,进一步缩小规模的过程在光掩模和晶片计量方面面临挑战。传统成像的一个重要替代方案是无透镜成像,称为相干衍射成像(CDI)。在Yasin Ekinci团队最近的实验中,其将EUV CDI应用于多层涂层和薄膜保护的EUV掩模,揭示了其在检测小至20nm的吸收体和相缺陷方面的卓越能力。无透镜方法的一个显著优势在于其固有的灵活性,允许操纵波长和入射角,从而进一步增强成像能力。此外,这些方法能够同时使用不同的计量技术,如散射测量法和反射测量法。Yasin Ekinci团队在尽力创造一种新型的晶圆计量工具,能够在纳米级进行无损测量,适应各种结构和材料。总之,EUV光不仅有助于在光刻中产生较小的特征,而且成为未来技术节点中表征和分析这些复杂特征所需的计量学的一种强大方法。无透镜成像和EUV工具的结合为推进半导体制造所需的计量学带来了巨大的前景。报告人:Hai Cong (AMEC)报告题目:19 Years of AMEC Etch Product Innovation经过19年的不断创新和努力,中微半导体设备(上海)股份有限公司(AMEC)已成为中国领先的高端半导体设备公司。丛海表示,AMEC在CCP(电容耦合等离子体)和ICP(电感耦合等离子体)蚀刻机上开发了多种产品,以覆盖大多数蚀刻应用。AMEC提供单台机和双台机,以追求卓越的工艺性能和显著的成本降低。报告人:ZongQiang Yu (DJEL)报告题目:HPOTM EDA-Plus Enables An Ultimate DTCODTCO的本质是实现设计和制造之间的协同合作,使双方能够释放各自的优势,从而寻求更优化的整体结果。东方晶源(DJEL)的HPOTM旨在建立一个平台和关键引擎,通过在设计和制造之间建立双向数据交换,实现最终的DTCO解决方案,使无晶圆厂能够在制造车间内获得更多的可见性,同时,芯片制造过程可以系统地考虑设计者的意图,最终提高整体效率和产量。在报告中,俞宗强介绍了DJEL在人工智能的帮助下集成Place&Route工具和OPC工具的最新实践,通过双方的优势提高了设计的可制造性和芯片性能,并展示了HPOTM平台如何为行业提供更具竞争力的解决方案,以构建更好的从设计者的意图到良好芯片(G2GC)的流程。报告人:Wenzhan Zhou (Shanghai Huali)报告题目:From AI Assist to AI Driven: AI Applications in Advanced Patterning Process Development据介绍,Al是一种具有高级校准(训练)算法的复杂模型(深度神经网络模型),用于描述大数据模式或行为并进行预测。先进的IC制造是一个数据量巨大的复杂系统,为了确保更高和稳定的最终产量,需要复杂的模型来描述输入值与输出值的关系和行为,因此Al模型适合处理这种具有大数据的复杂系统。有了Al辅助应用,手动工作由Al模型完成,效率显著提高,主要自动化应用是缺陷图像分类;近年来,由于生成Al模型的进步,Al驱动的应用蓬勃发展,EDA领域也涌现出许多设想和应用,如Al OPC、热点检测/固定和图像处理,如去噪等。报告人:Le Hong (Siemens EDA)报告题目:ML driven extended DTCO from technology launch to HVM据介绍,现代半导体技术节点的设计到制造过程始于设计技术协同优化(DTCO)。DTCO经常处理过程和设计假设,这些假设后来可能在实际过程开发阶段发生巨大变化。DTCO后的单个模块,如设计、重定目标、辅助特征插入、光学邻近校正(OPC)、掩模邻近校正(MPC)、掩膜带出和晶片处理,以分区的方式解决了系统性问题设置了标准的模块内验证机制,以防止系统缺陷的传播,如DRC签署物理设计、OPC验证验证OPC解决方案、计量和检验测量过程,通常是信息交换和协同优化模块间也有完善的电子诊断。只有在发生生产线类型的关键故障时才会出现。先进节点中使用了可制造性设计(DFM)和光刻友好设计(LFD)等技术,以便于更好地进行设计反馈。随着Fabless公司和Fab厂大力推动,需要一个系统的信息交换和分析平台,以全面的生命周期支持从设计到制造的各种模块。报告介绍了机器学习方法和基础设施,以促进延长设计技术协同优化生命周期。报告人:Qiang Wu (Fudan University)报告题目:Impact of the Shrink of Photolithographic Design Rules by 10%光刻技术是集成电路技术持续发展的推动者。每一套设计规则都是在充分考虑光刻能力的情况下制定的。由于光刻的分辨率是设计尺寸接近光学衍射限制的规则,因此可能需要在一定程度上折衷分辨率限制,例如一些困难图案的限制。在报告中,伍强通过仿真来证明光刻设计规则减少10%对光刻工艺产生的影响。报告人:Kan Zhou (Shanghai Huali)报告题目:An Innovative Lithography Process Window Decision Based On Aggregation of Multi Machine Learning Approaches光刻是集成电路制造中的一个关键过程,它涉及使用光化学反应将预先在掩模上制备的图案转移到晶片衬底上。光刻过程中的两个核心参数是曝光的焦点和能量。由于光刻过程中的各种外部环境干扰,预设参数的允许波动范围被称为光刻工艺窗口。为了选择合适的光刻工艺窗口,工程师通常使用电子显微镜获得不同曝光参数的相应光刻图像,从而创建曝光-能量矩阵(FEM)图像。经验丰富的工程师可以分析FEM矩阵图像来确定过程窗口。报告中提出了一种基于无监督学习的方法来确定光刻工艺窗口,旨在显著提高光刻技术质量评估的准确性和效率。通过采用这种方法,克服了现有技术中依赖手动标签和主观评估的局限性,实现了光刻工艺窗口的自动确定。报告人:Maohua Ren (United Semiconductor (Xiamen) Co.)报告题目:The Ultimate Step to Predict Yield Impact from Mask by Lithography Printability Review全面的掩模检查是晶圆厂工艺控制和产量管理的一种常见但关键的方法。随着分辨率增强技术(RET)和源掩模优化(SMO)技术的全面采用,检测后缺陷审查和处理变得比以前更具挑战性。手动一致地挑出掩模上的所有晶片印刷缺陷是非常耗时和困难的。任茂华之前的工作介绍了一种系统的解决方案来处理这种情况。在报告中,任茂华重点讨论了利用光刻印刷检查(LPR)技术预测临界尺寸(CD)影响的最终步骤,不仅解释了LPR工作流程的概念,还讨论了在生产中应用LPR的三个关键挑战以及如何解决它们。报告人:Jiwei Shen (East China Normal University, Shanghai Huali)报告题目:A Masked Autoencoder-Based Approach for Defect Classification in Semiconductor Manufacturing在半导体制造业中,缺陷的自动分类至关重要。即使是最轻微的缺陷也可能影响芯片性能或导致完全故障,从而影响芯片的良率。目前,缺陷分类仍然严重依赖于手动过程,通常会导致大量的错误分类。报告中提出了一种基于掩模自动编码器的芯片制造缺陷自动分类方法。以一种与任务无关的方式,在不需要任何标签的情况下对大量SEM(扫描电子显微镜)图像进行自监督预训练。以特定任务的方式,使用有限数量的高度可靠的标签来微调网络。实验结果表明,这种方法能够用最少的标记数据,准确地对缺陷进行分类,大大降低了人工成本。报告人:Toru Fujimori (FUJIFILM)报告题目:Recent Progress of EUV Resist Development for Improving Chemical Stochastic2019年,极紫外(EUV)光刻技术已应用于大批量制造(HVM),用于制备先进的半导体器件。随着最近在源功率改进方面的快速进展,包括光刻胶材料在内的EUV光刻开发已经达到HVM的要求。然而,EUV抗蚀剂材料的性能仍然不足以满足预期的HVM要求,即使使用最新合格的EUV抗阻剂材料也是如此。其中一个关键问题是随机问题,它将成为“缺陷”,如纳米桥或纳米夹。报告中,藤森对EUV光刻中的随机因素进行了分类,并介绍了它们的改进情况。在光刻步骤中观察到光子随机性和化学随机性这两个主要的随机问题。还介绍了包括光刻性能在内的各种随机问题的改进情况。报告人:Yanqing Wu (Shanghai Advanced Research Institute)报告题目:EUV Interference Lithography and Application in SSRF据介绍,在SSRF中,基于同步辐射(SR)的EUV/软X射线干涉光刻已被用于EUV光刻胶的评估和制造各种纳米级严格的周期性结构。作为EUV光刻胶测试工具,SSRF EUV-IL站正朝着5nm技术节点迈进;为了满足纳米科学和工业的更多要求,在SSRF-XIL站上开发了多种EUV-IL/XIL方法,如XIL缝合技术和非常规掩模XIL。报告人:Mohammad S. M. Saifullah (Paul Scherrer Institute)报告题目:A Novel Metal-Organic Resist Platform for High-Resolution Extreme Ultraviolet (EUV) Lithography未来技术节点的半导体制造进展面临巨大挑战。其中,用于极紫外光刻(EUVL)的高灵敏度和高分辨率光刻胶的可用性是可能阻碍未来计划的主要挑战之一。为了应对这些挑战,Mohammad S. M. Saifullah团队开发了一种新型金属有机抗蚀剂平台。利用该平台,其探索了新型金属有机抗蚀剂,目的是提高图案化分辨率,降低线宽粗糙度,同时保持灵敏度。其特征是质量<500道尔顿的含金属(或类金属)分子抗蚀剂,以及中心金属原子周围的高度可定制的有机环境。选择中心金属原子或准金属周围的有机环境的这种灵活性提供了相当大的工艺自由度来调整这些抗蚀剂的灵敏度和原子经济性。更重要的是,抗蚀剂平台是用于图案化与EUV和电子束光刻兼容的含金属/准金属的功能性抗蚀剂的通用方案。报告中讨论了选定抗蚀剂的EUV和电子束光刻的图案化结果,并展示高分辨率图案化的例子。
  • 安徽首片!晶合集成光刻掩模版成功亮相
    由晶合集成生产的安徽省首片半导体光刻掩模版成功亮相,不仅填补了安徽省在该领域的空白,进一步提升本土半导体产业的竞争力。更标志着晶合集成在晶圆代工领域成为台积电、中芯国际之后,可提供资料、光刻掩模版、晶圆代工全方位服务的综合性企业。掩模版是连通芯片设计和制造的纽带,用于承载设计图形,通过光线透射将设计图形转移到光刻胶上,是光刻工艺中不可或缺的部件。晶合集成一直专注于高精度光刻掩模版研发和生产。目前可提供28-150纳米的光刻掩模版服务,将于今年四季度正式量产,服务范围包括光刻掩模版设计、制造、测试及认证等,计划为晶合客户提供4万片/年的产能支持。从专注显示驱动芯片代工到扩展五大主轴产品,从专注晶圆代工到提供光刻掩模版服务,晶合集成一直致力于寻求多元化成长空间,为增加营收、扩大盈利提供强劲支撑,也为半导体产业国产化贡献力量。
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 中科院布局光刻机 助力企业摆脱“卡脖子”命运
    p style="text-indent: 2em text-align: justify "众所周知,在9月15日之后,华为已被全面断供。浓浓的情绪之下,中科院作为中国研发硬实力宣布牵头研发光刻机。span style="text-indent: 2em "中科院布局光刻机和卡脖子相关技术的消息,一经发出便引发了业界的欢呼。/span/pp style="text-indent: 2em text-align: justify "9月16日,根据媒体报道,中科院院长白春礼接受采访时表示:“未来中科院将集结全院之力攻克光刻机、关键材料等重点技术,帮助国内科技企业摆脱被西方国家卡脖子的命运。”br//pp style="text-indent: 0em text-align: center "img style="max-width:100% max-height:100% " src="https://img.21ic.com/weixin/2020/9/Z3Uvy2.png"//pp style="text-indent: 2em text-align: justify "虽然,光刻机技术落后,特别是EUV极紫光刻机落后是事实。但事实上,光刻机产业并不是从零开始的。/pp style="text-indent: 2em text-align: justify "2019年,上海集成电路峰会上,国家集成电路创新中心总经理张卫向透露的“中国集成电路技术路线图”中的六大内容中,就包括了先进光刻工艺发展趋势的这一项。/pp style="text-indent: 2em text-align: justify "另外,国内光刻技术早已达到65nm水平,甚至更高水平的工艺的消息频繁流出。/pp style="text-indent: 2em text-align: justify "今年7月,中科院方面公布了一种5纳米工艺的激光光刻技术,2019年12月份,中科院还公布了一项新型垂直纳米环栅晶体管技术。/pp style="text-indent: 2em text-align: justify "可以说,在先进技术,特别是光刻机上,中科院一直是在努力之中的,只不过是背后默默的英雄。/pp style="text-indent: 2em text-align: justify "21ic家认为,国内最大的研究所加入到光刻机的研发,不仅拥有最好的人才和研发资源,还具有最好的牵头作用。/pp style="text-indent: 2em text-align: justify "众所周知,虽然光刻机一直在卡脖子,但要知道光刻机也拥有自己的产业链,包括镜头、激光、光刻胶材料、测试测量都是必备的环节。当然,中科院也明确表示将会将卡脖子的技术都列入可研清单之内,因此中科院的未来研究成果非常值得期待。/p
  • 无掩膜光刻机助力可穿戴柔性微流控芯片研发,实现汗液实时监测!
    论文标题:WearableMicrofluidicSweatChipforDetectionofSweatGlucoseandpHinLong-DistanceRunningExercise发表期刊:Biosensors(IF5.4)DOI:https://doi.org/10.3390/bios13020157【引言】在传统的运动训练监测中,通常需要对被研究对象进行血液样品采集。通过对所采集的血液进行各类分析获取相应数据,达到运动训练监测的目的。由于从血液样品中获得的相关数据准确程度高,所获得的数据被认定为是运动训练监测领域的黄金标准。然而,在采集血液样品的过程中,通常会给被研究对象带来一定痛感,被研究对象对相关监测工作的配合意愿度低,导致数据收集存在一定的困难。由于血液和汗液的成分是渗透相关的,因此汗液中的某些代谢物也可反映疾病状态。随着技术的发展,汗液,唾液,眼泪等体液的运动训练监测方法日益受到重视。收集这类的体液样本不仅不会给被研究对象带来痛感,还可以对运动训练进行时时监控,从而更好地了解整个运动训练过程。近日,北京体育大学与上海微系统与信息技术研究所强强联合,通过将柔性微流控监测芯片和智能手机的相结合,实现对长跑训练者汗液中的血糖值和PH值的时时监控,从而更加精准地监控长跑训练过程中的相关细节。相关研究工作在SCI期刊《Biosensors》上发表。本文中所使用的小型台式无掩膜直写光刻系统-MicroWriterML3无需掩膜版,可在光刻胶上直接曝光绘出所要的图案。设备采用集成化设计,全自动控制,可靠性高,操作简便,同时其还具备结构紧凑(70cmX70cmX70cm)、直写速度快,分辨率高(XY:小型台式无掩膜直写光刻系统-MicroWriterML3【图文导读】图1.制备可穿戴柔性微流控芯片的概要图和光学照片。A)微流控芯片的概念解析图。B)和C)对汗液中葡萄糖和PH值监测的原理解释图。D)用微流控芯片收集汗液的示意图。E)柔性微流控芯片结构示意图。F)利用MicroWriterML3制备的柔性微流控芯片实物图。图2.用TritonX-100对聚二甲基硅氧烷(PDMS)进行亲水性处理。A)不同TritonX-100量对PDMS亲水性的影响。B)不同量的TritonX-100对PDMS亲水处理后,水接触角随时间的变化。图3.芯片中色彩和汗液中葡萄糖和PH值的对应关系。A)色彩和葡萄糖数值的对应关系。B)色彩和葡萄糖数值对应关系的拟合结果。C)汗液PH值和色彩之间的对应关系。D)色彩和汗液PH值的拟合结果。图4.用人工汗液样本对柔性微流控芯片对汗液中葡萄糖和PH值进行检测。A)芯片与不同人工汗液样品反应后的结果。B)RGB颜色值与葡萄糖对应的关系,以及相对应的拟合结果。C)RGB颜色值与汗液中PH值的对应关系,以及拟合结果。图5.柔性微流控监测芯片对长跑过程中汗液的葡萄糖和PH值的监测效果。A)使用血糖仪和制备的芯片分别在运动10分钟,20分钟和30分钟后对受试者进行血糖监控。B)血液中的葡萄糖和汗液中的葡萄糖随着运动的变换比较。C)在运动10分钟,20分钟和30分钟后,汗液的PH值的变换。并对受试者在饮用苏打水前后汗液PH值的变化进行了对比。D)运动饮料的补充方案。【结论】北京体育大学和上海微系统与信息技术研究的研究人员,使用小型台式无掩膜直写光刻系统-MicroWriterML3对负性光刻胶曝光,制备出符合实验要求的芯片模板,再利用倒模技术获得PDMS微流控芯片。通过TritonX-100对PDMS进行亲水性处理,最终获得适用于监测运动时汗液中葡萄糖和PH值的可穿戴柔性微流控监测芯片。该研究为柔性穿戴设备在运动训练监测方面的应用和发展提供了可能性和相应的方案。该成果最主要的优势是能够制备出基于PDMS的柔性微流控监测芯片。在制备该芯片过程中,需要及时修改相应的参数,得到优化的实验结果,十分依赖灵活多变的光刻手段。MicroWirterML3无掩膜激光直写机可以任意调整光刻图形,对负性光刻胶进行精准光刻,帮助用户快速实现柔性芯片的制备,助力体育运动学科的研究。相关产品:1、小型台式无掩膜直写光刻系统-MicroWriterML3
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制