当前位置: 仪器信息网 > 行业主题 > >

米朗电子尺

仪器信息网米朗电子尺专题为您提供2024年最新米朗电子尺价格报价、厂家品牌的相关信息, 包括米朗电子尺参数、型号等,不管是国产,还是进口品牌的米朗电子尺您都可以在这里找到。 除此之外,仪器信息网还免费为您整合米朗电子尺相关的耗材配件、试剂标物,还有米朗电子尺相关的最新资讯、资料,以及米朗电子尺相关的解决方案。

米朗电子尺相关的资讯

  • 英斯特朗Electropuls 电子动静态万能试验机研讨会
    英斯特朗Electropuls系列产品在过去的两年中销售推广中获得了用户的高度评价! 区别于对环境需求甚高的电液伺服动态测试产品。Electropuls系列创新环保的全电子设计在生物医学,科研等领域获得用户的广泛认可,英斯特朗公司将于2012年3月23日在南方医院新实验楼九楼会议室举办&ldquo 2012年英斯特朗ElectroPul技术研讨会&rdquo 。英斯特朗公司总部的应用专家Mr. Andy Smith先生携英斯特朗中国公司动态机经理顾子晏博士诚邀您一起探讨Electropuls 电子动静态万能试验机的特点, 以及如何应用英斯特朗公司的材料试验系统解决您实际碰到的问题。 如您有意参展本次会议,欢迎在2012年3月20日前与我联系 zhang_chi@instron.com 将为您预留礼品和资料,或电话021-62158568 X 8300 张先生
  • 特朗普出手整治 调味电子烟或被禁?
    p   当第六起疑似与吸食电子烟有关的死亡案例出现时,特朗普政府也坐不住了,一场大规模的禁令已经开始浮现。 /p p style=" text-indent: 2em " span style=" color: rgb(255, 0, 0) " strong 特朗普政府出手整治电子烟 /strong /span /p p   特朗普政府近日宣布,其正在着手解决美国青年流行电子烟的问题。美国食品药品监督管理局(FDA)打算在未来几周内敲定一项合规政策,优先执行包括薄荷和薄荷脑在内的非烟草味电子烟的上市前授权要求,清除市场上未经授权的非烟草味电子烟产品。 /p p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 600px height: 403px " src=" https://img1.17img.cn/17img/images/201909/uepic/4a20e00f-f46b-449a-877b-14d1d2f696f5.jpg" title=" 1.jpg" alt=" 1.jpg" width=" 600" height=" 403" border=" 0" vspace=" 0" / /p p    strong span style=" color: rgb(255, 0, 0) " 电子烟是新兴的公共卫生危机 /span /strong /p p   美国卫生与公众服务部部长Alex Azar表示:“特朗普政府明确表示打算清理调味电子烟市场,以扭转青少年使用电子烟的流行趋势,这种流行正对儿童、家庭、学校和社区造成影响。”“我们不会坐视这些产品成为新一代年轻人吸易燃性香烟或尼古丁上瘾的入口。” /p p   据美国全国青少年烟草调查的初步数据显示,青少年电子烟使用率持续上升。仅在2019年,超过四分之一的高中生目前(过去30天)使用了电子烟,且绝大部分青少年电子烟用户表示使用了流行的水果或薄荷口味电子烟产品。 /p p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 594px height: 400px " src=" https://img1.17img.cn/17img/images/201909/uepic/03b0fabe-0eba-4bd2-b2be-f7671ab62561.jpg" title=" 2.jpg" alt=" 2.jpg" width=" 594" height=" 400" border=" 0" vspace=" 0" / /p p   医学博士代理FDA局长Ned说:“没有人希望看到孩子们对尼古丁上瘾,我们将继续充分利用我们的监管权力,深思熟虑地彻底解决这一日益严重的公共卫生危机。” /p p    strong span style=" color: rgb(255, 0, 0) " 市售电子烟不合法 /span /strong /p p   抽过电子烟的朋友一定都对电子烟的不同口味流连忘返,草莓味、蓝莓味、蜜桃味、薄荷味& #8230 & #8230 甜甜的口味让人更加上瘾,更仿佛成为一种时髦、一种个性、一种潮流,许多年青人们为了获取关注,原本不抽烟的他们也慢慢入了电子烟的坑。 /p p   这种香甜的味道是怎么来的?这是由于烟油中添加了一种物质——双乙酰(diacetyl)。这种物质会导致一个严重的肺部疾病——闭塞性支气管炎。这是一种罕见、致命且不可逆的阻塞性肺病,会使肺逐渐走向衰竭。 /p p   美国食品和药物管理局(fda)和美国疾病控制与预防中心(cdc)正在调查与使用电子烟产品有关的严重呼吸道疾病事件。关于这些电子烟的产品信息,包括获取方式以及所含物质,还在进一步调查中。 /p p   除了电子烟所含物质的不确定性,目前市售电子烟产品均不合法!需要政府采取行动进行约束。根据2016年8月8日生效的一项规定,所有电子尼古丁输送产品需要在两年内向FDA提交上市前烟草产品申请。FDA预计将在未来几周公布调味电子烟产品相关政策。 /p
  • 英斯特朗参加Chinaplas 2010
    尊敬的客户: 第二十四届中国国际塑料橡胶工业展览会Chinaplas 2010将于2010年4月19日至22日在上海新国际博览中心(地址:上海市浦东新区龙阳路2345号)举办。展会将为塑料、汽车、建材、电子电器、信息科技及通讯、包装等行业展示尖端的橡塑材料及设备。 英斯特朗公司将以全球先进的测试解决方案与设备同您分享最新科研成果。期待大家光临我们的展台E3T55展台! 我们衷心感谢您一如既往的支持! 顺颂商祺! 英斯特朗(上海)试验设备贸易有限公司 2010年2月
  • 纳米尺度富勒烯电子器件可自行制冷
    据美国物理学家组织网4月3日报道,近日,美国伊利诺伊大学研究人员宣布,他们用原子力显微镜探针检测了与富勒烯(石墨单原子层)接触点的热电效应,首次发现富勒烯晶体管在纳米尺度具有自行制冷效应,能降低自身温度。该研究成果发表在4月3日网络版的《自然纳米技术》杂志上。   计算机芯片的速度和尺寸大小受制于散热效果。电流通过设备材料由于碰撞而产生热,这种现象称为电阻热,这种热大大超过了给设备局部制冷的电效应,因此绝大部分电子设备都需要散热。使用硅芯片的计算机要用风扇或流水给晶体管制冷,这一过程消耗了大量的电能。   未来由富勒烯制造的计算机芯片,比硅芯片速度更快更省电。但由于富勒烯太薄,人们对它的发热散热机制一直不太了解。由伊利诺伊大学机械科学与工程教授威廉姆金和该校微尺度与纳米技术实验室电学与计算机工程教授埃里克波普共同领导的研究小组,用一种原子力显微镜探针(AFM tip)作为温度计,扫描了一个富勒烯—金属接头,首次测量了富勒烯晶体管在工作过程中的温度。他们发现,在富勒烯晶体管和金属接触点,热电制冷效应比电阻发热效应更强,晶体管的温度更低。   “在硅和大部分材料中,电热效应比它们的制冷效应要强得多。”金解释说,“但我们发现在富勒烯晶体管中,存在一个制冷效果比电阻热更强的区域,让它们能自行冷却。以前从未发现过富勒烯设备有这种自行制冷效应。”而这种自行制冷效应意味着,富勒烯电子设备不需要制冷,或只要很少的制冷,将带来更高的能效,进一步加大了富勒烯作为硅替代品的吸引力。   波谱表示,富勒烯电子设备还处在初级阶段,这一新发现将使它在热电方面的应用得到加强。下一步,他们打算用AFM温度探针来研究碳纳米管及其他材料的冷热效应。
  • Nanotechnology:采用热扫描探针光刻和激光直写相结合的方法快速制备点接触量子点硅基晶体管
    制造高品质的固态硅基量子器件要求高分辨率的图形书写技术,同时要避免对基底材料的损害。来自IBM实验室的Rawlings等人利用SwissLitho公司生产的3D纳米结构高速直写机NanoFrazor,结合其高分辨热探针扫描技术和高效率的激光直写功能,制备出一种室温下基于点接触隧道结的单电子晶体管(SET)。利用扫描探针可以确定佳焦距下的Z向位置,同时确定扫描探针和激光直写的位置补偿,研究人员在兼顾高分辨和高效率书写条件下得到小于100nm的度。利用CMOS工艺兼容几何图形氧化流程,研究人员在N型简并掺杂(>1020/cm3)的缘硅基底上制备出该SET器件。所研究的三种器件的特性主要由Si纳米晶和嵌入SiO2中的P原子所控制,进而形成量子点(QDs)。量子点上电子尺寸微小且局域性强,保证了SET在室温情况下的稳定运行。温度测量结果显示在100 – 300 K的范围内,电流主要由热激发产生,但在<100K时,主要以隧道电流为主。在硅基量子点器件的制备过程中,内部精细的功能器件区域一般要求高分辨率书写,但是在外部电相对粗糙的连接处仅需要高效的相对低分辨率刻蚀,这就是所谓的“混合搭配光刻”(mix-and-match lithography)。但是两种不同原理的书写技术结合应用会增加工作量,同时带来图形转移过程的位置偏差和对样品表面的污染。在本工作中,3D纳米结构高速直写机NanoFrazor系统将激光直写技术与高分辨热探针书写技术(XY: 10nm,Z: 1nm)相结合(如图1所示),这样可以利用热探针技术实现高分辨率区域的图形书写,而利用激光直写技术实现低分辨率区域的快速书写(如图2a所示, 蓝色区域为激光直写区域,深绿色区域为热探针书写区域),后实现一次性书写整体图形的高效性,同时避免了不必要流程所导致的表面污染和位置偏差。 图1:a) 热探针和激光透镜的结构示意图。b) 热探针连接在Z向压电传感器和位移台上,平行激光经透镜聚焦在样品表面。通过摄像头收集反射光实现样品成像,利用探针和激光的位置补偿进行表面书写。 图2:单电子器件(SET)的制作工艺流程示意。a) 器件图形示意,粉色区域为制备SET前的预图形书写区域。图形中央30μm×30μm区域中包含利用激光直写区域(蓝色)和利用热探针技术书写区域(深绿色);b) 位置校准示意;c) 对书写区域进行定位。d) 利用热探针技术进行高分辨率书写(图2a中深绿色区域);e) 利用激光直写技术进行低分辨率快速书写(图2a中蓝色区域);f) 利用RIE实现图形向硅层转移;g) 通过热氧化得到器件通道中的点接触通道。 IBM专门研发设计的NanoFrazor 3D纳米结构高速直写机所采用的针是具有两个电阻加热区域,针上方的加热区域可以加热到1000℃,二处加热区域作为热导率传感器位于侧臂处,其能感知针与样品距离的变化,精度高达0.1nm。因此,在每行直写进程结束后的回扫过程中,并不是通过针起伏反馈形貌信息,而是通过热导率传感器感应形貌变化,从而实现了比AFM快1000余倍的扫描速度,同避免了针的快速磨损消耗。NanoFrazor 3D纳米结构高速直写机与传统的微纳加工设备,如纳米醮印、激光直写、聚焦离子束刻蚀FIB、电子束诱导沉积、电子束光刻EBL等技术相比,具有高直写精度 (XY: 高可达10nm, Z: 1nm)以及高直写速度(20mm/s 与EBL媲美),具备实时形貌探测的闭环刻写技术以及无需标记拼接与套刻等特技术优势。加上其性价比高,使用和维护成本低,易操作等特点,成为广受关注的纳米加工设备。拓展阅读:Fast turnaround fabrication of silicon point-contact quantum-dot transistors using combined thermal scanning probe lithography and laser writingC. Rawlings, Y. K. Ryu, M. Rüegg, N. Lassaline, etc.DOI: 10.1088/1361-6528/aae3df
  • 英斯特朗 -- 消费电子产品的可靠性研究及力学测试应用研讨会
    随着全球信息化和智能化趋势的不断增强,人们对于消费电子产品尤其是各类智能终端产品愈发依赖。REUTERS的调研数据显示,平板电脑在2011年全球销量仅为5000万台左右,而2015年全年则超过了2亿台;除此以外,2015年全球智能穿戴设备出货量高达7810万台,同比增长171.6%。如今电子产品市场竞争激烈,消费者对于品质的要求也逐渐提高,特别是对于产品在受到重压、冲击、跌落、反复摩擦过程中的损耗情况甚至按键的触感有了更多考量。而一个优秀的产品在其设计研发和制造过程中,生产商对于产品可靠性的测试和分析却远远不局限于此。7月14日,英斯特朗公司在深圳成功举办了以消费电子产品可靠性研究及测试为主题的技术研讨会,分享了多年来英斯特朗关于消费电子产品可靠性测试的全面解决方案以及在测试精准度和智能化方面取得的研究成果。此次会议邀请到来自工业和信息化部电子第五研究所(中国电子产品可靠性与环境试验研究所)可靠性研究分析中心工艺部副部长、CQC认证项目负责人何骁先生,何部长近年来主要从事PCB&PCBA的综合测试评价、失效分析及可靠性整体提升工作。会上,他解读了电子产品的失效原因,分享了由于设计和生产不当引起产品故障的典型案例,帮助客户分析应该如何有效的进行产品可靠性测试从而确保产品品质。此外,我们也与来自富士康检测中心、华为技术中央研究院、OPPO电子工业有限公司的研发及检测领域的专业人士共同探讨了电子产品可靠性测试方面所面临的挑战以及英斯特朗是怎样帮助客户解决这些问题的。英斯特朗大中华地区客户非标方案定制总负责人沈文荣先生在会上针对电子产品屏幕及壳体材料的力学性能研究做了技术分享,其中包括电子屏幕的机械强度测试、四点弯曲疲劳循环测试、触摸屏的多点功能检测、液晶屏分离测试、屏幕表面电路剥离测试等全面的测试解决方案。沈文荣先生在英斯特朗服务超过20年,在客户非标定制方案的开发和管理方面拥有丰富经验,会议现场他与客户就目前面临的测试挑战进行了面对面交流。此外,英斯特朗中国区电子行业资深技术顾问汤颖华小姐在会上针对键盘和按键的测试做了技术分享。按键是PC上频繁使用的人机接口,通过对其触感特性的检测并对比其与设计参数之间的差异,达到控制产品质量和使用体验的目的。但“触感“是一种感觉,我们如何对它进行有效的测试并用可以量化的结果来分析产品是否具有优越的用户体验,以及如何提高多个按键产品的测试效率并保证试验结果的一致性是客户非常关注的。
  • 朗铎发布FAS 2100手持式X射线荧光光谱仪新品
    p style=" text-align:center " img src=" https://img1.17img.cn/17img/images/201907/pic/f6eacd58-f9d8-4db0-bd2c-c61b99d88b22.jpg!w400x400.jpg" alt=" 朗铎科技FAS 2100手持式X射线荧光光谱仪" / /p p br/ strong 产品简介 /strong br/ br/   FAS 2100手持式X射线荧光光谱仪是朗铎精湛的元素检测技艺与客户利益的完美结合,开创了检验级手持式光谱仪人工智能发展的新方向。朗铎科技与国外顶尖光电子研究机构联合研发,在国际检验级XRF领域掀起了一波新的数字浪潮。 /p p   FAS 2100搭载了人工智能技术的光谱分析终端,可让您的分析数据通过网络传输轻松获得。秉承欧洲工艺,融入中国智造,FAS 2100将精准度与易用性发挥到了极致,刷新了国际检验级XRF检出限与精确度的新标准。在可靠性方面,FAS2100依然毫不妥协,事实上,独特的人体工程学设计能够让FAS 2100经得起日复一日、年复一年的频繁检测,经过长时间的使用,仍然可保证出色的精度、稳定性与舒适度。 br/   是严谨的态度,更是一份朴拙的匠心,是科技突破,亦是历史延续,是尖锐的打破,更是经典的致敬,您身边的元素分析专家—FAS 2100! br/ br/ strong 技术优势 br/ br/ FAS 2100 手持式X射线荧光光谱仪具有以下显著优势 br/ br/ ● X射线管自动电流调节技术 /strong br/ br/ 国内首家采用X射线管自动电流调节技术,降低了强背景的干 扰,大大提高了分析性能 br/ br/ strong ●完全基本参数法 /strong br/ br/ 先进全面的完全基本参数法,具有更广泛的分析适应性,不分 基体,不分含量元素分析范围可以从痕量水平到纯金属。 br/ br/ strong ●内置校准技术 /strong br/ br/ 采用国际先进的内置校准技术,优化校准过程,极大降低使用 人员工作量,同时智能判断硬件故障。 br/ br/ strong ●独立工业操作系统 /strong br/ br/ 独立的工业操作系统,无需外接PDA,运行速度快,具有病毒 免疫功能,保障测试数据安全性。 br/ br/ strong ●灵活的通讯功能 /strong br/ br/ 蓝牙,USB等多种仪器连接方式,并可以通过朗铎APP和仪器 连接,实现数据分享、样品拍照以及样品定位等多种功能. br/ br/ strong ●人性化UI设计 /strong br/ 人性化的UI设计,使整个分析过程只需要三步,“开机”- “分析”-“扣扳机”,1-2秒既可出合金牌号,即使非技术人员也 可以轻松掌握。 br/ 技术参数 br/ 应用领域 br/ br/ strong 生产制造中的质量控制(QA/QC) /strong br/ br/   生产制造的质检人员,通过 FAS 2100,无需制样,并在完全无损的前提下,只需要很短的时间便可得出牌号,再延长几秒,则可得出实 验室级别的测试结果。 br/ br/ strong 废旧金属回收 /strong br/ br/   FAS 2100 采用韧性极好的LEXAN材料,密闭一体化设计,防尘、防水、防腐蚀,保障 FAS 2100 经得起严苛环境日复一日、年复一年 的频繁检测,为购销双方交易提供迅速可靠的判断数据。 br/ 产品详情 br/ br/ strong 光谱数据分析软件 /strong br/ br/ FAS 2100 手持式X射线荧光光谱仪检测数据实时分析平台 br/ br/ ● 通过蓝牙实时获取手持仪检测结果数据 br/ br/ ● 读取的检测结果按照设定的格式和选择的条目分享至微信、邮件、短信等 br/ br/ ● 样品拍照功能:可以通过App提供的拍照功能对检测样品进行拍照,并绑定检测结果,同时可以与检测结果一起进行分享 br/ br/ ● 可拓展云服务功能:检测结果上传至云服务器。用户在云服务可以对数据进行更加细致的统计、查询、分析等功能 br/ br/ /p p 创新点: /p p FAS 2100手持式X射线荧光光谱仪是朗铎精湛的元素检测技艺与客户利益的完美结合,开创了检验级手持式光谱仪人工智能发展的新方向。朗铎科技与国外顶尖光电子研究机构联合研发,在国际检验级XRF领域掀起了一波新的数字浪潮。 /p
  • 纳米温度计可揭秘原子尺度热散逸
    据物理学家组织网近日报道,一个由美国密歇根大学等单位研究人员组成的国际小组开发出一种纳米级的&ldquo 温度计&rdquo ,能从原子尺度测量热散逸,并首次建立了一种框架,来解释纳米级系统的热散逸现象。这一成果为开发体积更小、功能更强的电子设备扫除了一项重要技术障碍。相关论文发表在《自然》杂志上。 电流通过导电材料时会产生热,理解电子系统中热是从哪里产生的,有助于工程师设计性能可靠而高效的计算机、手机和医疗设备等。在较大线路中,人们很容易理解热是怎样产生的,但对纳米尺度的终端,经典物理学却无法描述热和电之间的关系。这些设备可能只有几个纳米大小,或由几个原子构成。 原子与单分子接点代表了电路微型化的最终极限,也是测试量子传输理论的理想平台。要描述新功能纳米设备的电荷与能量传输,离不开量子传输理论。在今后的20年,计算机科学与工程人员预期可能会在&ldquo 原子&rdquo 尺度开展工作。但由于实验条件限制,人们对原子设备的热散逸与传播还了解甚少,也为开发新型纳米设备带来了很大障碍。 该研究领导者、密歇根大学机械工程和材料科学与工程副教授普拉姆德· 雷迪说:&ldquo 目前晶体管已经达到极小量度,在20或30纳米级别。如果该行业继续按照摩尔定律的速度发展下去,线路中晶体管体积缩小的速度是其密度的两倍,如此离原子级别已经不远。然后,最重要的事情就是要理解热量散播和设备电子结构之间的关系,如果缺乏这方面的知识,就无法真正掌控原子级设备,我们的研究首次揭示了这一领域。&rdquo 雷迪实验室博士生李宇哲(音译)等人开发出一种技术,特制了一个稳定的原子设备和一种纳米大小的温度计,将二者结合做成一种圆锥形工具。在分子样本线路中,圆锥形工具和一片黄金薄片之间能捕获一个分子或原子,以研究其热散逸。他们通过实验显示了一个原子级系统的变热过程,以及这一过程与宏观尺度变热过程的不同,并且设计了一个框架来解释这一过程。 雷迪解释说,在可接触的宏观世界里,当电流通过导线时,整个导线都会发热,与其相连的所有电极也是如此。相比之下,当&ldquo 导线&rdquo 是纳米大小的分子,而且只和两个电极接合时,温度升高主要发生在二者之一中。&ldquo 在原子级设备中,所有热量集中在一个地方,很少会到其他地方。&rdquo 雷迪说:&ldquo 我们的研究还进一步证实了物理学家列夫· 朗道提出的热散逸理论的有效性,并深入理解了热散逸和原子尺度的热电现象之间的关系,这是从热到电之间的转变。&rdquo
  • 强强联手,合作共赢!英斯特朗与WMC China检测公司国际合作创新实验室成功开幕!
    5月25日,英斯特朗、wmc china与来自多个行业的复合材料测试精英齐聚龙城---常州,畅谈复合材料测试发展趋势,解读风力发电行业中的材料测试先进理念。会上,嘉宾实地参观英斯特朗与wmc china联合创建的国际合作创新实验室,在近距离观察英斯特朗先进材料测试解决方案的同时,也了解wmc china完善的材料测试设备和专业的检测环境。作为常州工业智能制造应用态势之一,新材料的研发、生产与测试吸引了无数人的关注,同时相信在材料测试设备和材料检测服务领域催生出无数的新兴机会。研讨会上午,wmc总经理,iec标委会成员ben hendriks开场,详细介绍了wmc china的前世今生。作为第三方测试服务的领跑者,拥有世界先进的检测设备、数据管理系统、专业的技术团队与管理人员,具备复合材料测试评估和结构件测试验证的能力,专注于风电、航空航天、轨道交通、汽车等复合材料应用领域。英斯特朗全球动态系统创新及开发总监andy smith先生向来宾介绍了英斯特朗公司,作为全球材料力学测试和结构测试顶尖的系统供应商,英斯特朗的生产工厂位于美国、德国、英国、意大利,服务领域涵盖航空航天、汽车工业、能源、电子、生物医学以及各类科研实验室,始终致力于为全球材料研发和质量控制领域提供高品质的产品、专业的技术支持和世界级水平的服务理念。会上,ben hendriks先生的分享《实验室测试降低创新风险》,详细介绍了风力发电叶片故障率、造成叶片故障的主要因素和叶片材料测试的必要性和紧迫性。 andy smith先生介绍了英斯特朗复合材料测试解决方案,以及我们在该测试领域超过50年的丰富经验。涵盖从早期的树脂,纤维,到各种复合材料及组件,不断引领航空航天、汽车,能源等领域的同时与全球科研高校开展合作共同应对复杂多样的测试难题。强强联手,合作共赢!作为英斯特朗和wmc china深度合作的标志,当天中午英斯特朗与wmc china国际合作创新实验室揭牌仪式顺利召开,合作双方高度认可了此次共建联合实验室的深远意义。该实验室的建立将从更高的角度确定二者深度合作的必要性,能更好地服务整个中国乃至于全球领域的材料测试需求,从而推动二者业务的共同发展和品牌的不断提升。会议下午,英斯特朗全球动态系统创新及开发总监andy smith先生从多个方面分析了疲劳测试的挑战:对中,温度控制。wmc研发专家erik stammes先生则带来了风力发电行业中的材料测试和组件测试详解。英斯特朗中国高级技术专家市场与业务发展总监杨卫刚分享了静态和冲击试验在复合材料测试中的应用案例。下午嘉宾随即参观了英斯特朗与wmc china的国际合作创新实验室,现场观摩英斯特朗多台试验机的测试操作,亲身操作感受了材料测试的便捷和准确性。 随着中国在新材料领域的资金投入和政策支持,作为应用广泛的材料类型,复合材料迎来更大更广阔的发展前景,同时催生出多样复杂的测试需求。我们有理由相信,英斯特朗&wmc china国际合作创新实验室将为助力众多复合材料生产商实现精准、便捷的材料测试需求,从而提高产品质量,推动业务发展和品牌提升,助力“中国制造2025”!
  • 乘风破浪 永往直前——记东深电子华东业务中心团建活动
    为加强部门文化建设,进一步加强聚光科技(杭州)股份有限公司(以下简称“聚光科技”)下属子公司深圳市东深电子股份有限公司(以下简称“东深电子”)业务中心团队凝聚力,提升团队间的协作能力。7月1日,在中国共产党建党96周年之际,东深电子华东业务中心在台州三门蛇蟠岛开展了为期两天的团建活动。全员合影览三门海岛风光 体验渔民生活  7月1日上午,东深电子华东业务中心一行30余人怀着愉悦的心情开启了蛇蟠岛之旅。11:30到达目的地,大家享受了一顿美美的午餐后,激动人心的一刻到了,组员们分成了金山队与水漫队开展捕鱼大战。许多人都是第一次出海捕鱼,一切都是那么新奇。当渔船驶出码头,大家都倚着栏杆向远处眺望,美女们的刘海在海风中微微凌乱。不时低空掠过的海鸥,在那个场景,大家都想张开双臂,去尝试拥抱大海蓝天。  撒网、捕鱼、收网,那一刻,大家不禁愉悦地欢呼起来,鱼虾蟹都在甲板上活蹦乱跳的。经过专家的评比,最终金山队和水漫队的收获不分伯仲。下午,队员们饱览了三门海岛风光,体验了渔民捕捞的真实工作场景。晚上,队员们品尝着自己的战利品,畅谈人生。 出海捕鱼泥巴大战乐趣多 海盗村里见历史   听说上午要进行“泥巴大战”,大家兴奋不已。大家都知道,蛇蟠岛虽然是海岛,但是蛇蟠岛上并没有沙滩,这里的海边清一色都是滩涂地。之前出海捕鱼两队战况不分上下,滩涂地继续上演泥巴大战,每位队员使出浑身解数,通力合作,用智慧将对方队员做成天然泥塑。 泥巴大战  午餐后,东深电子华东业务中心一行驱车向海盗村景区进发,它是目前国内唯一一个以海盗为主题的海岛洞窟景区。进入景区前面,远远地可看到一个用大石块砌成的城堡式的城墙,这就是海盗村的大门入口。在中间的铁锚上刻着三个有力的大字——“海盗村”,旁边还有一个很大的舵,显得霸气十足。在这里,队员们化身加勒比海盗,探索蛇蟠岛兴衰之谜,了解中国海盗的亡命生涯。  大概在下午3点半,我们踏上了返程。看着海面上波浪荡漾,蛇蟠岛在背后渐远,正如今天快乐的记忆:品尝美味的青蟹,游览秀丽的山水,感受历史的变迁,听着海盗的传说,别有一番风味在心头!  在本次团队建设活动中,全体东深人像海鸥一样在风浪中出海捕鱼,像螃蟹一样在泥泞中横行奋进,像芦苇一样在艳阳下登高望远,充分发挥了东深人不屈不挠、无坚不摧、奋勇前进的精神。本次活动充分调动了大家的积极性和主动性,相信在以后的工作中,大家也会焕发新的活力。新的一天,让东深人乘帆破浪,勇往直前! 东深人乘帆破浪,勇往直前
  • 朗铎科技亮相Q.C.China 2017
    2017年10月30日-11月1日,第22届中国国际质量控制与测试工业设备展览会(Q.C.China)在上海光大会展中心召开。Q.C.China是目前中国工业检测领域中规模最大、历史最久、范围最广的品牌展会。此次展会以“创新、进步、和谐发展”为主题,集中展示国内外工业检测及仪器的新产品、新技术、新装备。朗铎科技携赛默飞世尔尼通手持式X射线荧光光谱仪亮相此会,备受参展观众的青睐。展会现场朗铎科技工作人员为现场观众做了赛默飞世尔尼通手持式X射线荧光光谱仪产品演示,使大家更加近距离地体验了Niton产品准确、快速和便捷的性能。随着工业检测的需求不断扩大与深入,对产品质量检验设施与技术的要求也越来越高,如何提升检测手段、完善检测设备是检测从业人士所关注的焦点。赛默飞世尔尼通手持式X射线荧光光谱仪为合金的成分分析提供了快速无损的检测手段,被广泛应用于各种规模的加工制造业,从小型金属材料加工厂,至大型的飞机制造商等各种规模企业均纷纷选购Niton产品。Niton手持式光谱仪已成为质量体系中材料确认、半成品检验、成品复检的首选仪器。借此平台,更多的客户了解到了赛默飞世尔尼通手持式X射线荧光光谱仪在工业检测领域的应用,也使得朗铎科技进一步扩大了在需求用户中的影响力,朗铎科技将继续努力,推陈出新,为工业检测领域注入更多更新的能量。
  • 京都电子中国公司KEM China将参加CISILE 2016
    京都电子中国公司KEM China将参加CISILE 2016中国国际科学仪器及实验室装备展览会(CISILE),由中国仪器仪表行业协会主办,北京朗普展览有限公司承办,并得到了中国机械工业联合会、中国出入境检验检疫协会、中国教育装备行业协会等行业机构的大力支持。展览会旨在加强行业应用和国际交流、科学仪器的成果转化,推动我国科学仪器的产业化、现代化发展。第十四届中国国际科学仪器及实验室装备展览会(CISILE 2016)将于2016年5月22-24日在北京国家会议中心(天辰东路7号)举行。京都电子工业株式会社(KEM)中国分公司--可睦电子(上海)商贸有限公司,也将参加第十四届中国国际科学仪器及实验室装备展览会(CISILE 2016)。届时,将在展会期间展示自动电位滴定仪AT-710系列、卡尔费休水分仪MKV-710&MKC-710系列、数字式密度计DA-6XX系列、全自动折光仪RA-6XX系列、快速热传导率测定仪QTM-500、多通道热流计HFM-215N、等最新产品。欢迎广大客户莅临展位,指导交流。展会名称:第十四届中国国际科学仪器及实验室装备展览会(CISILE 2016)时间:2016年5月22日至24日地点:北京国家会议中心(天辰东路7号)展位号:1072展位京都电子工业株式会社(KEM)-中国分公司可睦电子(上海)商贸有限公司(KEM-China)地址:上海市徐汇区宜山路333号汇鑫国际大厦1201室邮编:200030服务热线:400-820-2557电话:021-54488867传真:021-54480010电邮:kemu-kem@163.com网址:http://www.kem-china.com
  • 发现电镜下的纳米级浪漫世界!中科院苏州纳米科学家作品展
    电子显微镜下另有一番广阔天地?纳米所科学家们的浪漫都是纳米级?在这个火热的夏天,一场科学与艺术的对话——中科院苏州纳米科学家作品展,走进苏州中学园区校,和孩子们一起发现电镜下的纳米级浪漫世界!本次展览由江苏省苏州中学园区校和中科院苏州纳米所共同主办,苏州广播电视总台和苏州大学传媒学院协办。展览分为“发现电镜下的微观世界”“欣赏科学家的学术浪漫”“感悟纳米所的工匠精神”三个章节,共计展出48幅纳米所研究人员提供的电镜图样。展品审美视觉效果和科普学习价值兼具,是一场视觉艺术和科学技术的“跨界约会”。图/学生志愿者孙天一正在为观众讲解“在当志愿者前,我恶补了一周纳米相关的知识!”来自苏州中学园区校少科二班的孙天一担任本次展览的志愿讲解员,他告诉记者,虽然恶补一周只了解了一些皮毛,但是他因此对纳米科学领域产生了很大的兴趣。“希望进入大学后,我也能为祖国解决一些科学难题,像这些科学家一样!”图/展览现场图“通过这次展览,我们还想致敬伟大的人民科学家钱学森,他提出的‘量智与性智结合;科学与哲学结合;科学与艺术结合;逻辑思维与形象思维结合;微观认识与宏观认识结合'的大成智慧教育思想,对今天的学生尤其具有启发性。”苏州中学园区校老师单伟峰是本次展览的负责人,他向记者介绍,本次展览还将走进更多的校园进行流动展出,将科学的种子播种到更多苏城孩子心中。图/展品拼图“至大无外,谓之大一,至小无内、谓之小一”,庄子在《天下》篇中借惠子之口提出了这一哲学观点。意思是宏观世界无限大,大到没有边界,而微观世界无限小,小到没有内核。那么世界真的像古人所说的那样吗?展览的第一章节通过毫米-微米-纳米这样不断缩小尺度的作品,带领观众发现微小尺寸中的大千世界。图/展厅现场“欣赏科学家的学术浪漫”则借用了唐代诗人司空图在其《二十四诗品雄浑》中的名言“超以象外,得其环中”,其本意是一种诗歌的境界——在无穷的想象中,突然获得顿悟。这一章节都是科学家们将自己的研究成果通过PS等工具渲染,制作成了这些色彩斑斓、巧夺天工的艺术作品。最后章节引用的“致广大而尽精微”,出自《礼记中庸》中“故君子尊德性而道问学,致广大而尽精微,极高明而道中庸。”意思是君子要修身修德、勤学好问,于广大处和精微处体会道之显现,以中庸的方式表达道之高明。科学的事业无限崇高,但科学的研究却需要科研人员在具体而细致的研究中精益求精。因此,科学家也需要有契而不舍的工匠精神。图/《赛先生说》苏州中学园区校场次现场图“家、校、社”三位一体的协同育人机制是新时代教育发展的国之大事、校之大事。“家、校、社”三位一体的协同育人机制是新时代教育发展的国之大事、校之大事。苏州中学园区校除了将科技主题画展引入校园,苏州科普文化讲坛《赛先生说》也把来自全国各地的专家带到孩子们身边,青涩懵懂的学生与各领域有所成就的专家学者,由此碰撞出别样的思维火花。此外,苏州市尹山湖美术馆、中科院苏州纳米所、中科院苏州空天信息研究院都与苏州中学园区校有教学、科研、实践多方位深度合作。这个夏天,你还不来这个充满活力的校园看看吗?展览名称:科学与艺术的对话——中科院苏州纳米科学家作品展展览日期:2023.5—2023.10展览地点:江苏省苏州中学园区校西马美术馆(F楼110)开放时间:苏州市中小学工作日期间均可预约作品欣赏01材料之花(之一)02快乐集会03天地玄黄04棱镜七色光05GaN纳米仙人球
  • 我国学者借助电子显微镜在皮米尺度精确测量表面结构上取得重要进展
    北京大学“电子显微镜与电子光学实验室”的“青年千人”计划研究员高鹏与日本、台湾的合作者通过基于高空间分辨率(45皮米,目前最高纪录)的定量环形明场像技术(ABF)发现,钛酸锆铅(PbZr0.2Ti0.8O3)铁电薄膜表面存在异常的原子重构。铁电薄膜的表面结构对铁电数据存储、传感、表面化学等应用都有很重要的影响。  但是在此之前,由于缺乏有效的表征手段来研究这些绝缘复杂氧化物的表面物性,人们对铁电材料的表面原子结构知之甚少。高鹏研究员过去几年在美国、日本一直从事基于图像定量化分析、原位动力学探测等先进电子显微学技术来研究铁电材料的缺陷结构和铁电畴翻转的动力学过程。他与合作者曾系统地报道过界面对畴的成核效应【Nat. Commun. 2,591 (2011) Science 334,968 (2011)】、缺陷与畴壁的相互作用【Nat. Commun. 4,2791 (2013) Nat. Commun. 5,3801 (2014)】、畴的稳定性【Adv.Mater. 24,1106 (2012)】等。  他们最新的研究成果是通过利用环形明场成像技术在皮米(0.001纳米)尺度上精确测量阴、阳离子之间的键长来计算表面结构的细微畸变(图一)。研究表明,在不同极化取向的铁电畴中,PbZr0.2Ti0.8O3的表面原子结构完全不一样,在表面薄层中可以存在“铁电死层”和高能的带电畴壁。这些发现为铁电薄膜、铁电陶瓷、铁电表面催化等应用提供了非常重要的信息。同时,发展起来的基于环形明场像技术定量测量绝缘氧化物表面结构的方法将极大地提高人们对这些复杂功能氧化物材料物性的认知。   该研究成果近期发表于《自然-通讯》上【Nat. Commun. 7,11318 (2016)】,高鹏研究员为论文的第一作者和共同通讯作者。上述研究得到了北京大学电子显微镜实验室、中组部“青年千人”计划、2011协同创新中心、自然科学基金等项目经费的资助。   图一 (a)环形明场像的PbZr0.2Ti0.8O3薄膜的表面结构。(b)极化向下的畴的表面结构。Pb-O键长在表面附近的变化趋势,表明表面附近存在铁电死层和180度的带电畴壁。 (c)极化向上的畴的表面结构。Pb-O键长在表面附近的演化表明不存在明显的表面重构。(d)极化平行于表面的畴的表面结构。Pb-O键长在表面附近的演化,表明表面附近存在铁电死层和90度的带电畴壁。
  • 英斯特朗最新推出电子动静态万能材料试验机E10000 ELECTROPULS
    发布日期:2009年7月22日 联系人:顾子晏博士 英斯特朗动态机产品经理 TEL:010-68470012 FAX:010-68498103 Mobile: 13701143649 最新开发的电子动静态万能材料试验机E10000 ELECTROPULS -动态和疲劳测试 英斯特朗,作为专业生产测试材料和组件力学性能设备的制造商,一直保持行业内的领先地位,最新推出的ElectroPuls E10000单轴和E10000拉扭双轴试验机是两款创新的全电子动态测试设备,可满足广泛的测试要求。 运用线性电机技术,ElectroPuls系统的技术水平适用于从标准静态测试到超过100HZ的高频动态测试,为广泛的力学测试试验提供了保障。从评价软组织的拉伸强度到断裂力学,以致运动鞋的耐久性测试,ElectroPuls系统提供独特的优秀测试平台。不同于传统用于动态测试的伺服液压技术,ElectroPuls系统无需液压油或高压动力,系统采用风冷,并且只需要单相电源。 两款机型都达到10,000N(2250lbf)载荷,并且E10000拉扭双轴具备专利的拉扭作动缸,可进行材料和组件拉扭双轴测试。这些高精度仪器,具体许多以客户需求为导向的特征:具有专利的以刚度为参照的自适应PID调节,满足客户要求的极端载荷,T型槽工作台可以灵活地适用于各种夹具和工装,及电动提升横梁。 关于英斯特朗公司 英斯特朗是材料和结构测试设备制造的领先者。作为一家专业生产万能材料试验机的企业,英斯特朗生产试验机和提供服务,用来测试在不同环境条件下,材料、组件和结构的力学性能。 英斯特朗材料测试系统可在极大范围内对材料的力学性能进行评价,试验对象从易碎的灯丝到高级合金,为客户提供全面的解决方案,包括研发、质量和寿命测试。除此之外,英斯特朗还能提供广泛的技术服务,包括协助实验室管理、标定和培训。 更多信息,请浏览网站www.instron.com
  • 太赫兹脉冲可提高砷化镓电子密度
    据美国物理学家组织网2011年12月20日报道,日本京都大学最近发现,用一种强太赫兹脉冲照射普通的半导体材料砷化镓(GaAs)会导致载荷子密度提高1000倍。研究人员表示,这一发现有望带来超高速晶体管和高效光伏电池。相关论文今天发表在《自然?通讯》杂志网站上。   研究载荷子倍增是多体物理和材料科学的基础部分,在设计高效太阳能电池、场致发光发射器和高灵敏光子探测仪方面具有重要作用。为了研究这种现象,研究人员设计了专门的实验,将一小块无掺杂的标准半导体材料砷化镓量子阱样本固定在氦流低温保持器上,用一种持续1皮秒(10的-12次方秒)的近半周期太赫兹脉冲照射该样本,发现电子空穴对(激子)突然暴发了雪崩式反应,使其密度比开始时提高了1000倍。   京都大学集成电池材料科学院(iCeMS)副教授广理英基解释说:“太赫兹脉冲使样本处于强度为每平方厘米1毫伏的电场中,能产生大量的电子空穴对,形成激子,发出近红外冷光。这种明亮的冷光与载荷子倍增有关,这表明强电场驱动的载荷子相干能有效获得足够的动能,从而引发一系列碰撞离子化,在皮秒时间尺度内,使载荷子数量增加约3个数量级。”   此外,京都大学集成电池材料科学院的田中耕一郎教授领导的实验室为该实验提供了太赫兹波,他在研究包括生物成像技术在内的太赫兹波的多种应用。他说:“我们的目标是制造出能实时观察到活细胞内部的显微镜,但实验结果表明,将太赫兹波用于研究半导体是一个完全不同的科学领域。”
  • 特朗普削减国立卫生院科研预算 美国生物医学研究或面临“创新赤字”
    美国国家机构与科研计划预算变化幅度  %预算变化  高级能源研究计划署  海洋气象局研究办公室  环境保护局研发办公室  能源部能源计划  环境保护局  国立卫生研究院  能源部科学办公室  海洋气象局卫星  标准与技术研究院  地质调查局  航空航天局地球科学  航空航天局  农业部竞争性拨款  能源部核武器(核安全局)  按特朗普总统的2018财年预算,大量联邦科学计划获得的资助将比2016年大幅减少。与上一财年相比,国立卫生研究院(NIH)预算几乎打了八折,而核武器所获资助大幅增加。图片来源:sciencemag.org  美国白宫日前公布了2018财年(从2017年10月1日开始)联邦政府预算纲要报告,其中美国国立卫生研究院(NIH)遭受重创——2018财年预算只有259亿美元,比2017财年的317亿美元减少了58亿美元,降幅达到18%。由此造成的一系列变化让人忧心忡忡。  NIH下属27个机构或被重组  预算报告提出重组NIH下属的27个机构,除了承诺“重新平衡联邦对研究资金的支持”之外,并没有提供更多的细节。但可以明确的是,它将取消其下属的Fogarty国际中心。《华盛顿邮报》报道指出,该中心提交的预算方案是6910万美元,致力于建立美国与他国卫生研究机构之间的伙伴关系。  白宫管理和预算办公室主任米克马尔瓦尼就此事做了补充说明:“我们认为NIH正在做一些核心任务之外的事情。”  NIH的提案引起了生物医学研究倡导者的深切关注。美国生物化学和分子生物学会的公共事务部主任本杰明科尔伯在一份声明中说:“NIH长期以来一直是生物医学创新的全球领导者,对帮助美国保持竞争力的科学界来说,高达58亿美元的削减尺度是不可接受的,美国公众也不能接受。”  国家脑肿瘤学会首席执行官大卫F阿荣斯表示,对“NIH预算被削减感到失望,如果我们放弃所有已经取得的成就和所有可以达到的成果,那将是一个巨大的损失”。  流行病学持续资助或间断  削减NIH国家癌症研究所的潜在支出已经引起了科学家的担忧,尤其是开展流行病学研究的科研人员,他们需要持续多年的资助以不间断地收集数据。  特朗普的提议还包括在NIH行政主管部门——卫生和人类服务部创建一个基金,以应对公众健康紧急情况,如寨卡病毒的传播。科学家和公共卫生专家多年来确实一直呼吁这样一个基金,但华盛顿全球健康大学联盟执行主任凯斯马丁认为,日常的基础研究更重要,“毕竟,预防公共卫生危机比应对已出现的危机便宜得多”。  美国微生物学会在一份声明中说:“NIH这种资金缩减规模是前所未有的,会减缓对慢性和传染性疾病的科学发现,我们希望持续资助抗击艾滋病、结核病和疟疾等疾病的研究。”  减少项目管理费弥补差额?  《科学》杂志官网报道,分析人士认为,NIH被削减的58亿美元预算可能会通过降低间接成本的比例来弥补,一位接近特朗普政府的匿名人士称,“间接成本”受预算报告的影响最大。  所谓间接成本,是指大学从NIH申请到科研经费资助后,用于支付与其资助项目有关的管理费用,如为实验室支付物业费、为聘用的员工支付薪水等。  尽管法律规定了间接费用与科研经费资助之间的比例上限,但实际情况是,一般由大学和政府之间协调。《自然》杂志得到的数据显示,在大学里,该费用比例从20%到85%不等 在医院和非营利性研究机构中,这一比例更加宽泛。2016财年,NIH为研究项目支付了64亿美元的间接成本,以及169亿美元的直接成本,比例高达37.9%。  间接成本一直饱受争议。研究人员认为其降低了研究资助的规模,私人基金会支付的管理费用会低很多,如比尔及梅林达盖茨基金会将支付给美国大学的间接费用限制在10%以内。  但专家也表示,削减间接成本可能会遭遇大学的激烈反对,因为他们认为,间接成本对于维持高质量的研究设施至关重要。  美国大学协会主席玛丽苏科尔曼在一份声明中说:“这一预算提案将削弱美国的创新和经济增长,并将在与中国等国家继续加大投资研究和高等教育的竞争过程中,形成‘创新赤字’。”  今年5月,完整的预算将公布。届时,一切才会尘埃落定。
  • 【邀请函】Q.C.China2017,朗铎科技邀您共襄盛举!
    【邀请函】Q.C.China2017,朗铎科技邀您共襄盛举!
  • 从小米来看电子产品精密仪器如何进行知识产权保护
    小米发家历程颇具传奇色彩,可谓“质疑与销量齐飞,唱衰共成长一色”。小米从质量、外观、价格到专利支撑、市场占有率都有人提反对意见,唯一被认可并一直被模仿的是营销手段。然而,任何一款产品仅靠营销都不可能发展长远,小米越做越红火,营销只是手段,严密的知产保护体系才是保障。那么,小米壮大之路又能给广大从事电子产品、精密仪器行业的企业哪些启示呢?请随中细软集团小知一同来看!  市场未动商标先行 小米全类别注册商标  小米能有今天的风光,雷军功不可没。中细软集团小知通过一篇报道发现,他是个极有“情怀”之人。小米联合创始人王川在接受采访时表示,雷总说他不是要做一家手机公司,而是做小米style,小米生活,用一点点小才华,让亿万人的生活好一点。这句话很令人动容,且不论雷军的真实想法是想让亿万人生活好一点还是扩张商业版图,要做“小米生活”的决心倒是真的。目前,小米曝出的专利涉及智能手机、电视、饮水机、汽车、金融、智能穿戴设备、智能家居等多个领域,为进军其他领域提供了技术支撑和商业可能性。然而,比起小米科技在专利上的进展,其对商标的保护显然更游刃有余。  小知通过专业的知识产权管理软件知库宝查询得知,小米科技在45个类别下共注册商标一千余个,不仅注册了“小米”商标,也注册了其近似商标进行防御。其中,与小米科技目前主营业务联系最为紧密的第9类别(科学仪器、通讯设备等),注册商标多达两百多个,不仅包括小米商标及其近似商标,还包括多个能让人联想到“小米”的商标。看完小米科技对商标严密的保护制度,小知只想说两个字“佩服”!  商标全类别注册有利于企业拓展业务范围,也有利于把牌子做大做强。有一句话叫“市场未动 商标先行”,说的就是商标对于企业拓展市场的重要性。没有商标的“认证”,产品得不到消费者信任,更遑论企业业务的发展。商标是商业主体用于商品之上的,便于消费者“认牌”购物的标志。企业提前做好了商标全类别注册,就能在不同领域的商品上贴着同样的商标,展现企业实力的同时增强消费者信心,扩大市场影响力的同时增强企业知名度。企业在进行宣传时,通常将企业、商品、商标联合起来,也是为了令消费者形成系统的印象。商标全类别注册还能杜绝“傍名牌”现象的发生,就像前一段时间的“小米控告小米e贷商标侵权”事件,就是极好的例子。大企业品牌有着广泛知名度,虽然其业务专注于某些领域,但对于消费者来说,品牌知名度的效用足以延伸到其他领域。所谓“大树底下好乘凉”,大企业如果不提前对商标进行全类别注册,很有可能被其他企业钻了空子,抢注其他类别下的商标,彼时借企业品牌之声望进行宣传,是谁也无可奈何之事。  商标全类别注册的重要性可见一斑,然而,并非每家企业都想如雷军一样做“小米生活”,很多企业只是专注于某一领域产品的生产。那么,对于从事精密仪器设备行业的企业来说,都需要注册哪些类别的商标呢?中细软集团专家建议您除了在第9类注册商标外,还要在第35类(广告服务)、第37类(电子设备维修)、第38类(通讯服务)、第40类(电子产品加工)、第42类(计算机软件)等类别下注册商标。  摆脱招黑体质 专利才是核心竞争力  商标全类别注册能有效保护企业品牌价值,然而,要赢得市场仅靠商标保护是不够的。就小米的发家之路来说,营销手段固然能促进产品销量,但维持市场占有率及口碑则需要过硬的质量支撑。小米手机之所以成为“招黑”体质,很大程度上就是由缺乏核心技术造成的。从事通讯行业的企业热衷于报道企业掌握的专利数量、新增专利数量、专利增长率及涉足行业等信息。这些信息表面上只与“专利”有关,实则是在向外界宣布企业实力,也是在增强消费者对其产品质量的信心。专利是企业的核心竞争力,对从事通讯行业的企业来说,尤其如此。  专利是受法律规范保护的发明创造,包括发明专利、实用新型专利和外观设计专利三种类型。对产品新技术方案、产品形状及结构、产品形状及图案等方面进行了全方位的保护。因此,专利数量能很好的代表一个企业的创新能力及技术实力,企业想在市场竞争中争取主动,不注重专利技术的研发是万万不行的。专利的重要性不仅体现在增强企业实力上,也体现在法律对其专利成果的保护上。《专利法》规定,发明创造被授予专利权后,未经专利权人许可,任何单位和个人不得擅自实施该专利。想要实施他人专利而不侵权,就应当与专利权人订立实施许可合同,向专利权人支付专利使用费。  专利独占性保障了企业科研成果不被窃取,也保障了企业合法权益遭受侵犯时能第一时间拿起法律武器维护自身权益。说起来,小米没少吃“专利战”的亏,华为、中兴、爱立信皆以“专利侵权”的名义对小米发起攻势,能否在市场竞争日渐激烈的国产手机市场中挺到最后,还需要看各自的专利身家如何。国内市场,小米因专利问题被唱衰 国际市场,小米因专利纠纷铩羽而归。小米虽头顶400亿美元估值和BATM新冠,但连续曝出的专利风险也令其狼狈不已。然而,雷军表示,专利战是小米成人礼,小米已经做好了不追求短期盈利的目标,培养用户忠诚度和口碑。小米能在市场上走多远,拼到最后是拼其创新能力。  从小米的例子可以看出,从事精密仪器设备生产的企业想要在市场上取得长远发展,不仅需要加强商标保护,也需要重视科学技术的研究及相关专利的申请。
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • 海克斯康数字扫描技术——这可能是米开朗基罗《大卫》最精确的复制品
    米开朗基罗的《大卫》可能是世界上最著名的文艺复兴时期的雕塑,5个世纪以来,无数人被其原作的生命力量震撼、征服。近期CBS报道一家尖端三维技术团队进入佛罗伦萨美术学院,它将制作有史以来最精确的复制品。 用飞机发动机的技术研究艺术品在新冠疫情之前,每年大约有数百万游客前往佛罗伦萨美术学院参观这件文艺复兴时期的杰作,而在游览期间,游客被禁止使用闪光灯拍照。此次《大卫》雕像的扫描,使用了海克斯康专业的StereoScan蓝光扫描测量系统,借助于先进的光栅投影技术,可实现高效、精确的无损测量,且完全不会对文物造成任何损伤。该系统还能自动识别参考点,并具有数据自动拼接技术,结合Leica激光跟踪仪及LAS系列激光扫描测头的帮助,可轻松完成14英尺雕像从头到脚的高精度扫描和数据拼接。海克斯康蓝光测量系统的《大卫》扫描之旅是一次成功的破圈行为,此前它已广泛应用于航空发动机、汽车制造、能源重工以及机械工程等各大领域,能实现高效、精确、可靠的生产检测、质量控制和逆向测绘,为各行各业提供了可定制的测量解决方案。 让艺术家更清晰地看到《大卫》海克斯康蓝光测量系统的精度,可满足航空发动机的超高加工水准,此次参与《大卫》扫描项目,不仅能够带来前所未有的高精度的复制品,也让艺术研究者通过全新的“数字孪生”技术,看到了一个更加清晰的英雄形象,以便于开展艺术研究工作。“数字大卫”让微观的三维数据到达研究者的桌面。以往的雕像研究需要研究者亲自带放大设备等去观察每个细节——大卫手上的青筋、怒目直视的眼神,而如今“数字大卫”项目的成功,在有效提高了研究效率的同时,也给未来的文物监测和修复提供了可靠的数据参考。数字档案将大卫的灵魂意义延展给大卫一个“数字兄弟”,让大卫的艺术意义得到延展。大卫雕像的原作完成用了几年时间,即使是后期的复制品制作也是非常繁复的。借助海克斯康StereoScan超高的测量精度,大卫雕像实现了有史以来最为精确的数字还原,待测量工作完成之后,工作人员还会将扫描数据送至巨型3D打印机中进行打印,待成品上釉等工作完成后,最终成品将被送至迪拜世博会意大利馆进行展览。借助高科技术手段,让大卫走向世界不再是一个艰难的命题。文物原作的震撼是无法代替的,从3d打印机中出现的雕像可能并不拥有原作的灵魂,但是文物的保存依旧是一个重要的问题。科学家也发出警告,大卫的脚踝已经开裂,如果受到任何突然的外力,它可能会完全倾倒。而大卫的这份数字档案的获得,将从某种意义上无限期延续文明,海克斯康先进的蓝光测量技术的出现,为文物保护提供了有效的技术保障。
  • 最新!特朗普宣布2500亿美元关税上调推迟至10月15日
    p style=" text-align: justify text-indent: 2em " span style=" font-family: 黑体, SimHei " 9月12日,特朗普在推特上表示将推迟对价值2500亿美元的中国商品加征关税。 /span /p p style=" text-align: justify text-indent: 2em " span style=" font-family: 黑体, SimHei " 特朗普在推特上表示:“ /span span style=" font-family: 黑体, SimHei " 应中国国务院副总理刘鹤的要求,以及由于中国将于10月1日庆祝成立70周年,美国已同意将针对价值2500亿美元商品的加征关税税率的上调(从25%上调至30%)实行时间从10月1日改为10月15日,以示“善意”。 /span span style=" font-family: 黑体, SimHei text-indent: 2em " ” /span /p p style=" text-align: justify text-indent: 2em " span style=" font-family: 黑体, SimHei text-indent: 2em " /span /p p style=" text-align: center" img style=" max-width: 100% max-height: 100% width: 376px height: 282px " src=" https://img1.17img.cn/17img/images/201909/uepic/d1b66989-4dfa-450b-9768-77e662520bed.jpg" title=" 企业微信截图_20190912120510.png" alt=" 企业微信截图_20190912120510.png" width=" 376" height=" 282" / /p p style=" text-align: center " 图源于网络 /p p style=" text-align: justify text-indent: 2em " span style=" font-family: 黑体, SimHei text-indent: 2em " /span 就在昨日9月11日, span style=" color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 16px " 国务院公布第一批对美加征关税商品第一次排除清单,国务院关税税则委员会组织对对美加征关税商品排除申请主体提出的有效申请进行审核,并按程序决定,对第一批对美加征关税商品,第一次排除部分商品,分两个清单实施排除措施。具体表单如下: /span /p p style=" text-align: justify text-indent: 2em " span style=" color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 16px " /span /p p style=" text-align: center" img style=" width: 642px height: 411px " src=" https://img1.17img.cn/17img/images/201909/uepic/adc930ed-05a1-4e5c-a6da-dd535053eae1.jpg" title=" 0fb53b88-c2e9-4230-b5d1-19cc2331c65c.jpg" width=" 642" height=" 411" / /p p style=" text-align: center" img style=" width: 662px height: 307px " src=" https://img1.17img.cn/17img/images/201909/uepic/497f936c-7f04-4823-a63d-fa4a45c70221.jpg" title=" 539be1d2-f97a-4024-a699-a2f9a531047d.jpg" width=" 662" height=" 307" / /p p style=" text-align: justify text-indent: 2em " 详情点击查看: a href=" https://www.instrument.com.cn/news/20190911/493139.shtml" target=" _blank" span style=" color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 24px " 国务院公布第一批对美加征关税商品第一次排除清单 /span /a /p p style=" text-align: center" img style=" " src=" https://img1.17img.cn/17img/images/201909/uepic/db0e93d1-8109-4d6b-a62d-ea226a947428.jpg" title=" 微信尾缀二维码01.png" / /p p style=" text-align: center" img style=" " src=" https://img1.17img.cn/17img/images/201909/uepic/8b0ec252-d2d4-4587-91d7-c228016bed9c.jpg" title=" 微信尾缀二维码02.png" / /p p style=" text-align: justify text-indent: 2em " br/ /p
  • 新品高调登场 NEPCON China 2015打造开放型智能电子生态圈
    作为信息时代的基础工程,电子制造业一直在国内市场占有举足轻重的地位。特别是近两年,国内经济结构不断调整,很多产业升级转型已经常态化,电子制造市场也不能独善其身,部分劳动密集型产业因为高额的人工费用和落后的生产能力不堪其累,纷纷选择退出或转型。与此同时,大批以电子自动化、新材料为代表的新兴产业高调崛起,助力电子制造业向智能创新、高效环保的方向持续发展,并藉此催生了一大批新工艺、新材料、新制造技术应运而生。   2015年4月21日-23日,将在上海世博展览馆隆重开幕的第二十五届中国国际电子生产设备暨微电子工业展(NEPCON China 2015),一展打尽SMT表面贴装技术、表面焊接技术、电子测量测试、电子制造自动化、防静电清洗、电子新材料等全球范围的创新产品和技术,从SMT到EMA,为电子制造厂商提供最全面的制造工艺和解决方案。作为目前亚洲地区规模最大的SMT行业盛会,NEPCON China 2015的举办契合了当前国内外的大环境和国家发展经济的战略要求,各种涉及当前产业热点以及未来发展趋势的新产品缤纷亮相,并继续引领中国电子生产设备和电子制造行业的风向标。   据悉,在整个电子产业蓬勃发展的当下,以半导体、汽车电子、物联网、微纳米及传感技术、集成电路、可穿戴设备为代表的新领域不断被开发,新潮产品层出不穷,但在业内人士看来,无论电子产业发展如何精进,都无法回避电子制造工艺在其中发挥的基础作用。只有安全高效环保的电子原材料和先进的工艺制造系统,才能打造出完美的工作流程,为厂商提供最科学的工艺解决方案。NEPCON China 2015立足于各种关键电子制造材料和装备,从组件到系统、从应用到服务,覆盖电子信息全产业链。特别是将在展会上闪亮登场的多家公司新品,将全面展示电子领域最先进的产品和技术。   厚积薄发,顶尖SMT工艺助力电子制造业发展   作为电子组装行业最具潜力的工艺之一,SMT表面贴装集技术成熟、投入成本低、布局灵活、集成度高等优势于一体,高度契合了我国电子工业多功能化、高可靠性的发展趋势。中国已经成为目前全球SMT最重要的市场,这对SMT行业发展本身就是一个重大利好。本次NEPCON China 2015,众多SMT及周边产品链厂商,带来自己的最新产品,共同见证本次电子制造业盛会。   在电子制造业高光无限的松下公司(展位号:C-1B01),本次展会将展出多款重磅机械,包括高品质、高速印刷实现生产线性能最大化的新印刷机「SPG」、集生产性与泛用性于一体的模组贴片机「AM100」、兼具高生产性与高精度的模组贴片机「NPM-D3」、以及NPM-W的进化版「NPM-W2」等。每一种技术,都综合了插件、表面实装、器件生产的整套技术,为客户实现良品生产、工程自动化提供了最尖端的整体解决方案,并向整个行业传达出创新技术和产品所蕴含的商业发展前景。   另一家SMT知名厂商元利盛公司(展位号:B-1B48),将追求经营效率、不依赖个人的自动化技术作为最大卖点,他们展会上推出的MT600高速泛用型SMD贴片机,配备全新开发的高性能贴装头,和即时直线电机运动控制系統,最高贴片速率36000CPH,投报率高、回收速度快,经济实用。老牌公司再次焕发生机,元利盛通过新产品强化技术支持和解决方案,意图撬动整个SMT新兴市场。   智能机器人大行其道,电子制造自动化迎来井喷   在激烈的市场竞争中,企业生存无外乎提高质量和压缩成本两条路,现代工艺背景下人力成本飙升,&ldquo 用工荒&rdquo 频频出现,已经成为制约传统电子制造业的发展瓶颈。在此背景下,寻求以工业机器人为代表的新型自动化设备自然是不二选择。作为电子制造智能化的支撑装备,工业机器人能够感知人类需求,挑战工艺极限,极其符合未来行业发展趋势。在本届NEPCON China 2015上,多家展商企业对工业电子制造自动化设备情有独钟,所呈现的自动化展品也成为业界最期待的亮点。   专注于生产高效、快速更换、可测量智能设备的运泰利(展位号:A-1D58),在本次展会推出能够自动贴附补强片到柔性线路板上的ABS-10设备。它的最大亮点可通过视觉系统定位来准确贴附补强片到柔性线路板,使用时精准可靠、高效经济,在未来功能测试、固件烧录、射频调谐等制造领域必将大放异彩。   东莞速美达自动化有限公司(展位号:A-A170)携带拥有充足动力和多元选择的小型机器人参展,该产品拥有全范围动作、高精度组装作业、高速搬运等优势,以更高级的智能辅助系统、更精确定位,极大的提高了生产效率。特别是经过优化后的设备高度,极大节省了占用的空间。从外观到性能,机器人实现了依靠规模增长的传统工业向可持续发展的新型工业的加速转变。   实验室级测量能力,电子测试测量迎接行业挑战   通信、消费类电子市场的巨大发展引发了测试测量仪器市场的快速增长,不过,随着电子产品的开发周期日趋缩短,电子制造商正面临在短时间增加更多功能的挑战,待测系统复杂度的提高和测试时间的缩短对测试仪器也提出了更高要求。在即将举办的NEPCON China 2015展会上,VISCOM、岛津等国内外知名厂商同台献艺,为参展观众带来了他们在测试测量领域的创新研究成果。   一直站在工业制造检测业界潮头的VISCOM公司(展位号:B-1G40),是业界领先的自动光学检测设备制造商之一。作为业界的先驱,VISCOM在NEPCON China 2015展会上当仁不让,隆重推出其最新产品技术X7056 FPD 3D RS X射线检测系统,其配备的市场上最快AOI摄像系统功功能强大,不逊于实验室级的测量能力,让现场参观者可以直接体验到它的强大。选择在本次展会推出Viscom信誓旦旦:S6056 XM系统将成为可以应用在所有SMT生产线上的经济型AOI主力。   电子测试测量类另一款引人关注的展品当属岛津(展位号:A-1F38)新品X-RAY设备SMX-800,它采用了最直观的操作流程,仅通过触摸屏显示器和3轴操作杆即可完成操作,最大程度简化了工作流程。百万像素相机,可轻易收获无变形、清晰自然的图像。   专注智能环保 电子焊接产品的另类发展路   电子元器件集成度越来越高,各种先进的封装技术对焊接设备升级换代也提出了更高要求。电子焊接是连通电子元器件的关键步骤之一,从前默默无闻的电子焊接厂商在环保的压力下,也开始了漫长的产业升级,向防治雾霾、减小碳排放、安全高效的方向挺进。本次展会,锐德热力、诺信等展商已经提前完成华丽转身,新产品具有先进的模组设计,并且具备智能环保的整机理念,极低的能源消耗、极少的锡渣产生,可以满足客户对选择焊的各种灵活要求。   锐德热力(展位号:B-1C10)最新展示设备VisionXP+ Vacuum,采用回流焊接系统配备真空模块,使无空洞焊接成为可能。专业防护胶喷涂处理系统可用于保护敏感电子元器件,防止其由于受到腐蚀以及有害环境。在兼顾经济性前提下,产品会以最完美的方式助力客户实现特定的工艺要求和技术优化。   诺信EFD(展位号:B-1F28)的新型自动流体点胶系统Product Information,将视觉和激光高度感应与闭环编码功能相结合,专门为降低活塞的跳动问题而设计。在点胶过程中,透明活塞可以实现极佳的刮壁效果,减少流体的浪费,增加了活塞表面与流体接触的面积,提高了密封性能,防止了跳动问题的产生。新产品的应用,极大的优化了整个点胶过程,最大限度的减少了流体的浪费。诺信EFD专业的流体点胶设计,专为精密仪器焊接而生。   电子清洗走向智能化,随时也给电子元器件洗个澡   越是精确小巧的电子产品,在生产过程中对粉尘的控制越发严格,甚至产品表面上肉眼看不到的细微粉尘,如果不加处理也会对产品使用造成严重影响。对电子加工污染零容忍的电子清洗系统,让我们最直观的领略到电子清洁的最新解决方案。凭借领先的技术研发能力,ZESTRON(展位号:B-1C35)在业界率先推出全新的环保可资源再生的于电子、半导体、光学、太阳能、LED和金属表面清洗剂,本次参加展会的ZESTRON® EYE CM便是最有创意的产品,成功地实现了SMT行业清洗液浓度的全自动控制过程是它的最大亮点。化繁为简,高度智能化的操作过程,确保您的清洗液浓度始终稳定地保持在理想的范围之内,最大限度的为清洗工艺保驾护航。电子元器件的日常残留均可无条件清除,智能化操控过程让日常清洗工作变得无比轻松。   电子包装市场群雄并起,新型打码机独领风骚   近年来国内流体控制技术不断取得新的突破,国产打码机在激烈的市场竞争中崭露头角,并在2014年急速提升。对于很多企业来讲,打码系统还是一个未知领域,但在高度智能化管理的企业之中,打码机已经成为相当重要的一环,它不仅能够很直观的告诉人们稳定的产品信息,还可以把产品的相关信息打到产品的表面,让企业的智能化管理效率有了很大的提高。   施瓦茨印刷贸易(上海)有限公司(展位号:A-1B76)推出的SALPS-300-B型自动在线式PCB板贴标机,基于德国cab Hermes+核心设备,是目前国内打码领域最先进的打码设备。它采用伺服马达驱动精密滚珠丝杆XY定位,可自动贴标、自动扫描并上传网络系统,能满足SMT行业中多拼PCB板自动即打即贴耐高温标签的需要。   紧紧贴合业界发展趋势,直面行业领军电子制造产品,即将精彩亮相的NEPCON China 2015电子展剑指高端,以低耗环保、高度智能的展会理念,助力传统电子制造业转型升级,在把国际和国内最有创新性的产品技术带到市场的同时,也为行业专业人士提供了独特的交流平台和一流展会体验。  来源:NEPCON   2015 NEPCON China观众预登记途径:   · 发送短信&ldquo CNH+姓名+公司名&rdquo 至106900297333即可登记参观NEPCON China 2015并收到展会资讯   · 参观热线:国内观众&mdash 4006505611或86-10-5763 1818 国际观众&mdash 86-21-2231-7011   · 关注官方微博:NEPCONChina电子展 官方微信服务号:NEPCON_CHINA   · NEPCON China 2015详情请访问:www.nepconchina.com   · NEPCON South China 2015详情请访问:www.nepconsouthchina.com   关于励展博览集团大中华区&mdash &mdash 中国领先的展览会主办机构   励展博览集团大中华区是世界领先的展览及会议活动主办机构&mdash &mdash 励展博览集团的下属公司。励展博览集团在世界各地拥有3,700名员工,在43个国家举办500多个展会项目,其展览及会议组合为跨美洲、欧洲、中东、亚太和非洲地区43个行业部门提供服务。2014年,励展博览集团举办的展会吸引了来自世界各地的700余万名参与者,为客户达成了数十亿美元的业务交易。励展博览集团是励德爱思唯尔集团的成员之一,后者是全球领先的专业信息解决方案提供商,亦是一家FTSE-100上市公司。   励展博览集团大中华区历经30多年的快速发展,如今已成为中国领先的展览会主办机构,在华拥有八家出色的成员公司:励展博览集团中国公司、国药励展展览有限责任公司、励展华博展览(深圳)有限公司、北京励展华群展览有限公司、上海励欣展览有限公司、北京励展光合展览有限公司、励展华百展览(北京)有限公司和河南励展宏达展览有限公司。   目前,励展博览集团大中华区在中国拥有500多名员工,服务于国内11个专业领域:电子制造与装配 机床、金属加工与工业材料 包装 生命科学与医药、保健、美容与化妆品,休闲运动 礼品与家居 汽车后市场 生活方式 博彩 出版 地产与旅游 海洋、能源,石油与天然气。   2014年,励展博览集团大中华区主办的50余场展会吸引了100万余名观众以及近4万余名参会代表出席 在我们的展会上,共有3万多家供应商参与展示,其展位面积总计超过160万平方米。
  • 纳米级近场光学成像对钙钛矿太阳能电池表面涂层电子迁移和载流子浓度的研究进展
    太阳能电池是通过光电效应或者光化学效应直接把光能转化成电能的装置, 其中以光电效应工作的晶硅太阳能电池为主流。虽然通过掺杂及表面覆盖抗光反射层能提高晶硅太阳能电池的效率,但是超过能带间隙和一些特定波长的光反射造成了巨大的光能量损失,反而限制了晶硅太阳能电池的效率。 Y.H. Wang等利用有机金属三溴纳米粒子(CH3NH3PbBr3)涂层吸收部分短波长太阳光,使其转化成化电场。该化电场可以通过促进分子重排而增强有机-晶硅异质结太阳能电池的不对称性,从而增加表面活性载流子密度,终将有机-晶硅异质结太阳能电池的效率从12.7%提高到了14.3%。 苏州大学Q.L. Bao教授等人在钙钛矿结构微纳米线的光电转换离子迁移行为和载流子浓度分布等领域作出了突出贡献。2016年,发表在ACS Nano上的钙钛矿结构微纳米线的光电转换离子迁移行为的研究中,作者利用neaspec公司的近场光学显微镜neaSNOM发现:1. 未施加外场电压时, 该微纳米线区域中载流子密度(图1 g. s-SNOM振幅信号)和光折射率(图1 g. s-SNOM相位信号)较均匀;2. 施加外场正电压时,该区域中载流子密度随I-离子(Br?)的迁移而向右移动(图1 h. s-SNOM振幅信号),其光折射率随随MA+离子(CH3NH3+)的迁移而向左移动(图1 g. s-SNOM相位信号)较均匀;3. 施加外场负压时,情况正好与施加正电压时相反(图1 i)。该研究显示弄清无机-有机钙钛矿结构中的离子迁移行为对于了解钙钛矿基的特殊光电行为具有重要意义,进而为无机-有机钙钛矿材料的光电器件应用打下了坚实的基础。图1.SNOM测量钙钛矿结构微纳米线的光电转换的离子迁移行为。 d-f. 离子迁移测量示意图;g-i,相应的s-SNOM光学信号振幅和相位图 2017年, Q.L. Bao教授等人发表在AdvanceMaterials的文章中再次利用neaspec公司的近场光学显微镜neaSNOM,次在实验中研究了太阳能电池表面钙钛矿纳米粒子涂层的载流子密度。结果显示:钙钛矿纳米粒子覆盖区域近场信号强度高于Si/SiO2区域中信号强度(参见下图2 b 图2 a为对应区域的形貌)。另外作者也研究了增加光照的时间的影响(参见下图2 c, d)。其结果显示:近场信号强度随光照时间增加,从12.5 μV (黄色,0 min) 增加到 14.4 μV (红色, 60 min),该近场信号反映了可移动自由载流子密度的变化。终,红外光neaSNOM研究结果证明:随光照时间增加,太阳能电池表面的钙钛矿纳米粒子涂层富集和捕获了大量的电子。图2. SNOM测量钙钛矿结构纳米粒子涂层的载流子密度。a. AFM形貌图;b, s-SNOM光学信号图-未加光照;c, s-SNOM光学信号图-光照30min;d, s-SNOM光学信号图-光照60min 作者预见,该研究对于设计新型太阳能电池,提高其转化效率具有重要意义。同时,该研究还提出了一种使钙钛矿结构材料和晶硅太阳能电池相结合的研究方法,为之后的研究和应用提供了解决新思路。相关参考文献1.Zhang Y.P. et. al. Reversible StructuralSwell?Shrink and Recoverable Optical Properties in Hybrid Inorganic?OrganicPerovskite. ACS Nano 2016,10, 7031?7038.2.Wang Y.H. et. al. The Light-InducedField-Effect Solar Cell Concept - Perovskite Nanoparticle Coating IntroducesPolarization Enhancing Silicon Cell Efficiency. AdvancedMaterial 2017, First published: 3 March 2017 DOI: 10.1002/adma.201606370.相关产品链接超高分辨散射式近场光学显微镜 http://www.instrument.com.cn/netshow/SH100980/C170040.htm德国Neaspec纳米傅里叶红外光谱仪 http://www.instrument.com.cn/netshow/SH100980/C194218.htm
  • 这些仪器企业参展SEMICON CHINA 2021
    仪器信息网讯 2021年3月17日-19日,SEMICON China/FPD China 2021在上海新国际博览中心N1-N5、T1-T3和E7馆成功召开。作为全球最大规模的半导体年度盛会,本届展会以“跨界全球心芯相联”为主题,吸引了业内人士齐聚于此,共有9个展馆4000多个展位,展览面积达84500平方米,汇聚了1100家展商,同时还举办了20多场论坛及研讨会。SEMICON China/FPD China 2021展会入口上海国际半导体展览会(SEMICON China)已发展成为中国半导体行业规模最大、内容最全面的展会。从设计到开发,贯穿整个价值链。国内外参展商展示了行业内数以千计的新产品、新技术、新解决方案和新服务。这是一个覆盖芯片设计、制造、封测、设备、材料供应商等全产业链携手合作,全球规模最大、最具影响力的半导体专业展!展馆分布与此同时,展会吸引了众多包括普发真空、梅特勒-托利多、牛津仪器、HORIBA、丹东华日、蔡司、青岛天仁微纳、矽万、牛津仪器、默克、弗莱贝格、丹东奥龙、德国海德堡、布琅轲锶特、滨松电子、岱美、奥林巴斯、赛默飞、上海赛可、布鲁克等多家国内外知名仪器设备厂商参会,涉及的仪器设备包括真空泵、能谱仪、流量计、X-RAY、少子寿命测量仪、电子显微镜、激光直写设备、激光干涉仪、纳米压印设备、尺寸测量仪、3D轮廓仪、粗糙度仪、椭偏仪等,其中不乏刚上市的新品仪器和极富独特性的仪器亮相。SEMICON部分仪器设备参展商1SEMICON部分仪器设备参展商2SEMICON部分仪器设备参展商3在半导体制造中,光刻和刻蚀工艺至关重要,也是国内半导体产业面临最大的“卡脖子”技术。本次SEMICON CHINA展会,众多包括Canon、中国电科、上海微电子、苏州源卓、尼康、中科院光电所、青岛天仁、合肥芯碁、海德堡、矽万、BHOE等光刻设备厂商和北方华创、屹唐半导体、中微、鲁汶仪器等刻蚀设备厂商也纷纷亮相。SEMICON部分光刻设备厂商1SEMICON部分光刻设备厂商2SEMICON部分刻蚀设备厂商
  • 英斯特朗:“致承”系列专为中国质控定制——访英斯特朗中国区业务发展和运营总经理王志勇
    作为世界上第一台闭环控制的电子万能试验机和第一个应变片式载荷传感器的研制者,美国英斯特朗(INSTRON)公司创建于1946年,是一家专注于研发和生产材料试验机的全球知名跨国公司;2005年加入ITW(依工)集团,是ITW测试测量部营业规模最大的子公司,年销售额超过4亿美元。   &ldquo 2013年,英斯特朗推出了针对质量控制领域的中高端&lsquo 本地化&rsquo 解决方案&lsquo 致承(Legend)&rsquo 系列试验机新品。&rdquo 这句话的信息很丰富,有很多值得解读的关键词:&ldquo 致承&rdquo 、本地化、中高端、质量控制&hellip &hellip   一直坚持走高端路线的英斯特朗为何会推出一款中高端产品,并且宣称是针对中国质控领域的&ldquo 本地化&rdquo 解决方案?中国市场中的试验机类型之多、之杂可以说是&ldquo 世界之最&rdquo ,&ldquo 致承&rdquo 如何从激烈竞争中脱颖而出?其差异化优势是什么?   据悉,2013年ITW测试测量部高层王志勇加入英斯特朗任中国区要职,&ldquo 新官上任&rdquo 适逢新品上市,英斯特朗接下来的中国市场拓展战略将如何制定?为此,仪器信息网(以下简称:Instrument)编辑特别采访了英斯特朗中国区业务发展和运营总经理王志勇,请其就上述问题一一进行了回答。 英斯特朗中国区业务发展和运营总经理王志勇   &ldquo 致承&rdquo 系列专为中国质控领域用户打造的&ldquo 本地化&rdquo 解决方案   Instrument:近70年来,英斯特朗推出的试验机产品无一不是高端定位,是什么契机促使英斯特朗推出了这款中高端的&ldquo 致承&rdquo 系列?   20年前的中国企业多属于劳动密集型,主要通过低成本的劳动力参与国际竞争。然而,近几年来随着中国制造业水平的快速提升,越来越多的企业希望通过品质提升国际竞争力。王志勇表示:&ldquo 这其中就包括英斯特朗的很多用户。我们发现,越来越多的用户除了在产品研发方面对高端材料测试设备的需求外,对品质控制的需求也在不断提升,他们希望中国市场中有一款技术先进,测试精确,重复性和可靠性高以及操作友好的试验机产品。&rdquo   王志勇介绍,英斯特朗长期定位于高端材料测试设备领域,其产品不仅适用于研发,也同样适用于质量控制领域。&ldquo 由于材料研发和质量控制对测试的需求有一定的差异,广大的用户期望有一款恰到好处的产品来满足质量控制领域的需求。假设一台高端试验机有100项测试功能,其中可能有60项在质控领域完全用不到,那我们就简而化之,将这些&lsquo 无用&rsquo 功能去掉。这样一来,产品价格下降,进一步提升了产品的性价比;还简化了操作培训,提升测试效率;更重要的是整个试验机的产品技术与品质完全不受影响。&rdquo   基于这样的产品思路,同时为了满足用户需求以及响应高速增长的中国市场,2013年英斯特朗推出了这款定位中高端的&ldquo 致承&rdquo 系列。王志勇多次强调:&ldquo &lsquo 致承&rsquo 的技术、性能与英斯特朗高端产品毫无差别,只是去除了质量控制领域所不需要的一些冗余功能,也许更应该说,&lsquo 致承&rsquo 系列是英斯特朗针对质控领域用户专门定制而成。&rdquo   Instrument:&ldquo 致承&rdquo 系列宣称为&ldquo 本地化&rdquo 解决方案,其&ldquo 本地化&rdquo 的概念体现在哪些方面?   &ldquo 事实上,&lsquo 致承&rsquo 系列只是在中国组装,严格按传统定义来说,这并不属于&lsquo 本地化&rsquo 。&rdquo 王志勇解释到:&ldquo 但是,&lsquo 致承&rsquo 系列是英斯特朗专门针对中国质控领域用户打造的一款&lsquo 本地化&rsquo 解决方案。同时,&lsquo 致承&rsquo 系列在中国组装完成,这可以大大缩短我们的交货时间,在技术支持和售后服务上能够更快速地响应用户需求。&rdquo   至于&ldquo 致承&rdquo 系列今后的&ldquo 本地化&rdquo 进程,王志勇表示:&ldquo 今年下半年,英斯特朗将在中国新加坡苏州工业园区投资建设生产基地,这是一项针对英斯特朗中国市场的战略投资计划,新工厂预计将于明年下半年正式投入运营。中国工厂的设立是使我们能够更加接近我们的用户,从而为其提供不断优化的服务。&rdquo   &ldquo 未来我们会逐渐实现一些非关键性零部件的&lsquo 本地化&rsquo ,如增加钣金、包装等外围零部件在中国的采购比例。但&lsquo 致承&rsquo 系列仍将不会成为完全的中国制造产品,其核心控制元器件,如控制器、传感器、传动部件、液压控制系统、夹具和软件等仍会坚持全球集中采购,这主要是为了确保产品品质的统一,不论是过去、现在还是未来,英斯特朗永远不会以牺牲技术、品质和服务来换取市场份额,而这也恰恰是英斯特朗成为行业领导者的重要原因。&rdquo 英斯特朗&ldquo 致承&rdquo 系列产品   &ldquo 致承&rdquo 系列不是价格竞争,借三大市场战略首攻4个细分领域   Instrument:中国市场中的试验机类型与种类相当多,&ldquo 致承&rdquo 如何能在激烈的市场竞争中胜出?其在价格方面有无优势?   &ldquo 英斯特朗近70年来一直专注于材料力学性能试验机的技术研发与生产制造,技术基础雄厚;同时,英斯特朗近70年积累起来的产品解决方案是一笔巨大的财富,而这些方案均适用于&lsquo 致承&rsquo 。&rdquo 王志勇补充到:&ldquo &lsquo 致承&rsquo 这个名字来源于&lsquo 卓越品质、精致传承&rsquo ,这是一种传承,是其他任何品牌的试验机产品所无可比拟的。从品牌到技术,从性能到应用,我们希望致承是一款高品质的产品。&rdquo   对于&ldquo 价格优势&rdquo 这个比较敏感的话题,王志勇则给出了这样的回答:&ldquo 英斯特朗的产品不需要依靠价格竞争。我们被用户认可的也不是产品的价格,而是产品的价值。如果我们为了得到价格优势而牺牲品质,那我们就不再是英斯特朗。&rdquo   &ldquo 我们通过提供高品质的产品,专业的应用支持和完善的售后服务取得客户的信任,客户认可的是我们为其带来的长期价值。牺牲品质和服务,不重视技术研发投入的价格竞争无疑是&lsquo 杀鸡取卵&rsquo 的短期行为,最终也一定会损害客户的利益。当然技术和品质并不只是愿望和口号,更是企业本身是否具备这样的能力,比如管理水平、人才资源、财务能力等。&rdquo   &ldquo 而且,&lsquo 致承&rsquo 的价格定位是目前中国试验机市场中的一个空白价格区间,此前该市场中没有这样一款同等价位的高品质试验机产品,我们希望向中国质控领域的用户提供一款&lsquo 恰到好处&rsquo 的产品&mdash &mdash 高品质满足用户质控测试高需求,产品价位还得承受得起。&rdquo   Instrument:&ldquo 新官上任&rdquo 适逢新品上市,接下来您将如何制定英斯特朗的中国市场拓展战略?   王志勇谈到:&ldquo 目前我国越来越多用户意识到产品品质的重要性,质量控制市场的需求在大幅提升,可以说&lsquo 致承&rsquo 是适时推出,目前我们的用户对&lsquo 致承&rsquo 的接受度和关注度很高,接下来我们希望&lsquo 致承&rsquo 能从生物医疗、质检机构、汽车、电子产品4个细分领域获得突破。&rdquo   &ldquo 至于如何实现突破,接下来我们将会采取更加积极主动的市场行为,增加与用户之间的互动交流,希望能够纠正一些市场不对称信息;同时,我们正在大规模增加市场团队建设,过去英斯特朗只在北京、上海、广州、西安建有办事处,接下来我们会在重庆、武汉、沈阳等中国主要中型城市都建立办事处,大范围拓展地理覆盖;再者,苏州工业园区制造基地的建立,将会给英斯特朗针对用户需求快速提供个性解决方案提供很大的便利条件。&rdquo   &ldquo &lsquo 致承&rsquo 系列的推出在一定程度上说明,中国市场在英斯特朗全球市场中占举足轻重的地位。2013年英斯特朗全球业绩超过4亿美元,中国占比超过10%,是我们全球第二大单一市场。我们会继续投入更多资金和精力拓展中国市场,包括推出&lsquo 致承&rsquo 、苏州建厂等,就目前来看,2014年英斯特朗中国市场发展很符合我们的预期。&rdquo 英斯特朗&ldquo 致承&rdquo 系列提供适合各种拉伸,压缩和弯曲等试验的夹具选择   笔者手记:   曾几何时,&ldquo Made in China&rdquo 风靡全球,如今&ldquo Made in China&rdquo 在全球消费者眼里却成为了廉价的标志。&ldquo 便宜&rdquo 本身没有错,企业在保证产品品质的基础上能够降低成本当然是最好的,但如果以价格为前提牺牲产品品质,这却是大错特错。   中国试验机行业中存在着大量的小微企业,产品关键部件通过进口、外购等方式获得,不少企业采用低价策略抢市场。&ldquo 竞争&rdquo 本身也没有错,它是一种很好的市场行为,能够导致创新、带来优化,但不健康的竞争却会伤害整个行业。   对此,王志勇认为:&ldquo 目前中国试验机行业存在着一些不健康的现象,相当多的企业并不十分重视在技术和研发领域的投入,在生产过程的各个环节缺乏系统的管理和精益求精的精神。技术上的差距和对细节的疏忽注定了这一行业的发展任重道远,我们需要从根源上做出改变,我愿意与同行、用户共同去努力纠正。希望业内同行以更严谨的态度对待技术与品质。也许这个行业再经过5-10年时间会有很大改变,无论洗牌或改革,我都希望变得更健康。&rdquo 采访编辑:刘玉兰   王志勇个人简介   王志勇先生毕业于中欧国际工商管理学院 工商管理硕士,大学主修流体传动与控制专业。2009年加入ITW测试测量部担任总经理,2013年开始担任英斯特朗中国区业务发展和运营总经理。之前曾在多个欧美跨国公司从事制造运营的高级管理工作近15年,是认证的精益生产和六西格玛黑带。   英斯特朗致承系列官方网站:www.legend.instron.com  英斯特朗致承系列仪器信息网展台:www.instrument.com.cn/netshow/SH103292/   英斯特朗官方网站:www.instron.cn   英斯特朗仪器信息网展台:www.instrument.com.cn/netshow/SH100637/
  • 网络讲座预告——有机电子学中纳米材料的光谱型椭偏表征
    HORIBA Scientific将于9月11日上午1:30举办&ldquo 有机电子学中纳米材料的光谱型椭偏表征&rdquo 免费网络讲座,欢迎大家届时参加。 有机电子学是一门新兴技术,正广泛应用于有机光伏(OPVs)、有机发光二管(OLEDs)、有机晶体管(OTFTs-传感器)和生物传感器等产品。 HORIBA Scientific邀请了希腊亚历士多德大学有机电子研究组组长Argiris Laskarakis博士作为本次讲座的主讲者。讲座将围绕柔性有机电子器件中的纳米材料的光学表征展开讨论,例如柔性OPVs。此外,还会讨论在Roll-to-Roll(R2R)系统上实现在线椭偏系统、实时分析柔性PET衬底上印刷的纳米薄膜的光学常数和和厚度形貌等内容。 作为拥有有近200年发展历史的光学光谱专家,HORIBA Scientific的椭圆偏振光谱仪可广泛应用于显示(TFT/OLED等)、光学镀膜、半导体、光电子、太阳能、纳米及生物技术等领域。与此同时,HORIBA Scientific也通过此类技术交流会不断与各领域的研究者进行深度合作,始终为科研及工业用户提供先进的检测和分析工具及解决方案。 您可以通过新浪官方微博来关注HORIBA Scientific新的动态,也可以通过以下邮箱与工程师进行技术交流:info-sci.cn@horiba.com
  • 直击智能化浪潮 专业论坛点亮NEPCON South China 2017
    p   “互联网+”、“AI+”、物联网、大数据……智能化浪潮正以空前的速度影响各行各业,在这样的时代背景下,电子制造行业势必要快速迎合互联网技术和应用的发展趋势,与信息技术深度融合。自动化、智能化、精益化的制造时代正在到来,也将成为未来国内电子制造产业的发展主线。 /p p   即将于8月29日-31日在深圳会展中心举行的NEPCON South China 2017(第23届华南国际电子生产设备暨微电子工业展),立足于华南电子制造业的核心区域,致力于引领电子制造信息前沿、帮助展商买家降低交易沟通成本,是华南地区一年一度的电子制造行业盛宴。全球电子制造业超过600个领先品牌将云集现场,集中展示各自的产品创新与技术革命。 /p p   专业观众可以在展会期间第一时间触及各种关于SMT表面贴装、电子制造自动化、焊接与点胶喷涂、测试测量等在电子制造环节不可或缺的解决方案。展厅之外,围绕电子制造行业中的热门技术和商业话题、智慧工厂、可穿戴设备及智能制造技术、医疗电子、安防电子等一系列行业热点,多场技术先锋专业技术论坛将在展会期间无缝链接,汇聚行业专业精英,带来一场场干货满满的思维碰撞和业内交流。 /p p style=" text-align: center " img width=" 597" height=" 398" title=" 2333.jpg" style=" width: 463px height: 310px " src=" http://img1.17img.cn/17img/images/201708/insimg/9cf9426f-f49f-4f3e-aa00-55ecb76d72bc.jpg" / /p p style=" text-align: center "   图为:2016 中国SMTA十周年庆典 /p p strong   重磅论坛持续两天 SMTA华南高科技技术研讨会汇聚热门话题 /strong /p p   SMTA华南高科技技术研讨会至今已连续多届与NEPCON South China同期举行,堪称华南展长期合作的一大标配。今年,SMTA华南高科技技术研讨会充分利用励展博览集团提供的大型综合展会平台,将探讨最新技术理念和实践经验,议题涉及电子制造行业中最热门的话题,包括电子组装、封装、材料、技术路标、商业焦点、新兴技术、实践技能发展等。 /p p   华南地区是目前我国最大的电子信息产业生产基地,也是国内电子产品产能、产量最大的地区之一,已成为重要的电子产业制造力量。“SMTA华南高科技技术研讨会2017”借助巨大地域优势,将为众多买家、观众开发销售和获取市场信息提供一个高效集中化的平台。 /p p style=" text-align: center " img width=" 601" height=" 400" title=" 15555.jpg" style=" width: 476px height: 302px " src=" http://img1.17img.cn/17img/images/201708/insimg/3b8949cc-3d19-40cb-acd8-3c3edd371b77.jpg" / /p p   strong  智能化、数字化的制造场景,智慧工厂1.0驱动电子制造未来 /strong /p p   本届NEPCON South China的核心展区——电子制造自动化展区(EMA),汇聚了众多自动化、机器人行业的领先企业,引领数字化工厂、智慧工厂、智能制造、智能仓储物流等未来制造业的热门应用方案。 /p p style=" text-align: center "   智能化浪潮已经从概念和技术研发阶段,走向实际的落地应用,观众可以在展会现场真实地体验很多智能化、数字化的制造应用场景,同时还可以通过8月30日举行的“NEPCON 与智慧工厂 1.0 - 电子制造的未来”主题研讨会,更深入了解当前智能化、数字化制造对于电子制造产业发展的深刻意义。 br/ img width=" 600" height=" 399" title=" 66666.jpg" style=" width: 474px height: 313px " src=" http://img1.17img.cn/17img/images/201708/insimg/533df95b-4c2a-4db8-a790-29eb0d51aaf4.jpg" / /p p   由中国科技自动化联盟和励展博览集团联合举办的“NEPCON 与智慧工厂 1.0 - 电子制造的未来”主题研讨会,是历届NEPCON South China华南展的保留项目之一。参与者可以与行业权威专家面对面探讨先进自动化产品、技术和标准在电子制造领域的运用,分享更多电子制造领域智慧工厂的解决方案与应用案例,促进“智慧工厂1.0”在电子制造产业的落地生根。 /p p & nbsp /p
  • 成果分享|电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱仿生制造
    div class=" rich_media_content " id=" js_content" style=" margin: 0px padding: 0px overflow: hidden color: rgb(51, 51, 51) font-size: 17px overflow-wrap: break-word text-align: justify position: relative z-index: 0 font-family: -apple-system-font, BlinkMacSystemFont, " helvetica=" " pingfang=" " hiragino=" " sans=" " microsoft=" " yahei=" " font-style:=" " font-variant:=" " font-weight:=" " letter-spacing:=" " text-indent:=" " text-transform:=" " white-space:=" " word-spacing:=" " -webkit-text-stroke-width:=" " background-color:=" " section class=" " style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important white-space: normal " p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-size: 15px line-height: 22.5px letter-spacing: 1px font-family: 宋体, SimSun " 生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。 /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-size: 15px line-height: 22.5px " 例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了 /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px letter-spacing: 1px " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px " 水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px background: white none repeat scroll 0% 0% " 准确无误地识别出特定形状的物体 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px " ? /span /span /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/1d1bb32e-3372-45b8-b9f6-aecdb9c4480d.jpg" title=" 2.jpg" alt=" 2.jpg" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 苍蝇特殊的“复眼”结构(图片来源于网络) /span /em /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: justify " span style=" box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。 /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left " span style=" font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 而在最近的一项研究中,昆士兰科技大学的研究团队 /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造 /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " , strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 并取得了重要研究成果 /strong 。目前,该项研究论文已被 /span em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " Journal of Materials Chemistry /span /em /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " ( /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " IF=4.776 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " ) /span /em /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 录用,论文题目为 /span em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars /span /em span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 。 /span /span /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 研究中涉及的 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 大量仿生制备工作由 /strong /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " TESCAN& nbsp /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 的 /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " EBL /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 完成 /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " ,并使用了 /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " TESCAN MIRA3 /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 场发射扫描电子显微镜表征细胞间相互作用 /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 。 /span /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: center " span style=" line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " img src=" https://img1.17img.cn/17img/images/201903/uepic/a35e931d-e573-43b1-b2e3-19aec186d880.jpg" title=" 3.jpg" alt=" 3.jpg" / /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 研究论文已被 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " Journal of Materials Chemistry /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " ( /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " IF=4.776 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " ) /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 录用 /span /em /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其 /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px " 在生物医学上的应用 span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px color: black " 产生了浓厚兴趣。昆士兰科技大学 /span /span em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " Prasad KDV Yarlagadda /span /em span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并 /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " 首次使用电子束曝光技术( /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " EBL /span /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px " )进行蝉翼结构的仿生制造 /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " , strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 加工出 /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 类似的纳米锥阵列结构, /strong 经研究发现,其 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 同样具有杀菌和生物相容性 /strong 。 /span /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。 /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black font-family: 宋体, SimSun " br style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " / /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/7a2f8f38-2397-4cfd-9bc6-e54722e8408a.jpg" title=" 4.jpg" alt=" 4.jpg" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% " 不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构 /span /em /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。 /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-family: 宋体, SimSun letter-spacing: 1px margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px " 在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。 /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun " 昆士兰科技大学的研究团队 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 巧妙地利用电子束在光刻胶中的散射 /strong , strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 通过控制电子束能量 /strong , strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 制作出椎体的“模子” /strong ,然后 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 利用沉积生长出需要的椎体 /strong ,最后腐蚀掉所有光刻胶, strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 得到了完美的纳米锥阵列 /strong 。 /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun " /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/d17d1ca2-607e-4fd8-a567-b4576f6cf055.jpg" title=" 5.jpg" alt=" 5.jpg" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% " 仿生纳米锥阵列的制作过程示意图 /span /em /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且, strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 进一步实验发现,其同样具有杀菌性和生物相容性 /strong 。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。& nbsp br/ /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/77a6fae3-0d6c-41a1-889b-fb64fb4de48f.jpg" title=" 6.jpg" alt=" 6.jpg" style=" width: 600px height: 192px " width=" 600" vspace=" 0" height=" 192" border=" 0" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 通过 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " EBL /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 技术制备的仿蝉翼结构的 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " Ti /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 纳米锥陈列 /span /em /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px font-family: 宋体, SimSun " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-family: 微软雅黑, sans-serif color: rgb(0, 122, 170) background: white none repeat scroll 0% 0% " /span /em /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/d4e4f267-227c-4ac9-bef0-70e9490d9095.jpg" title=" 7.jpg" alt=" 7.jpg" style=" width: 600px height: 165px " width=" 600" vspace=" 0" height=" 165" border=" 0" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " 图: /span /em /span /strong span style=" margin: 0px padding: 0px max-width: 100% letter-spacing: 1px " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " ( /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " E /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " )在制备出的仿生 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " Ti /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " 纳米锥阵列上附着铜绿假单胞菌细胞; /span /em /span em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " ( /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " F /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " )对照 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " Ti /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " 柱和仿生纳米 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " Ti /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " 柱上附着的人成骨细胞的活性;( /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " G /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " )在仿生 /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " Ti /span /em em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% " 纳米锥阵列上附着扩散良好的成骨细胞; /span /em /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 电子束曝光( /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " EBL /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " )技术 /span /span /strong span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) " (光刻胶) /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光( /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " EBL /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " )技术 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 避免了传统方法中对模板加工和使用的复杂过程 /strong ,其 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " 高分辨、高度灵活性、高灵敏度 /strong 的特点也受到研究人员关注,且 /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " EBL /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。 /span /span /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 在上述工作中,昆士兰科技大学研究团队使用了 /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " TESCAN MIRA3 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 高分辨场发射扫描电子显微镜搭配 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " TESCAN /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 自主研发的电子束曝光 strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-size: 15px letter-spacing: 1px text-indent: 28px white-space: normal " span style=" font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black " ( /span span style=" font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black " EBL /span span style=" font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black " ) /span /strong 技术 /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 出色完成了相关工作。 /span /span /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun " span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 不久前,昆士兰科技大学新采购了一台 /span strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " TESCAN /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " 最新的 /span span style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black " S8000X Xe Plasma FIB-SEM /span /strong span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " ,这是一款功能强大的氙等离子源 /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " FIB /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " ,配置了 /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " TESCAN /span span style=" margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black " 最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果! /span /span /p p style=" text-align: center" img src=" https://img1.17img.cn/17img/images/201903/uepic/05bb9e46-ded2-4c0d-87c8-d28cc7511e52.jpg" title=" 8.jpg" alt=" 8.jpg" style=" width: 450px height: 314px " width=" 450" vspace=" 0" height=" 314" border=" 0" / /p p style=" margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em " span style=" box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-family: 宋体, SimSun " strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% " 图: /span /em /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% " 昆士兰科技大学最新采购的 /span /em /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% " TESCAN S8000X Xe /span /em /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% " 等离子源 /span /em /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% " FIB-SE /span /em /strong strong style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important " em style=" margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic " span style=" color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px line-height: 22.5px letter-spacing: 0.5px font-weight: normal background: white none repeat scroll 0% 0% " M /span /em /strong /span /p p style=" margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 32px line-height: 1.75em " span style=" font-size: 14px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(136, 136, 136) font-family: 宋体, SimSun " 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。 /span /p /section /div
  • 二十年磨一“尺”,纳米时栅用时间测量空间
    国之大器,始于毫末。“现代热力学之父”开尔文有一条著名结论:“只有测量出来,才能制造出来。”没有精密的测量,就没有精密的产品,高水平的精密测量技术和精密仪器制造能力,是发展高端制造业的必备条件。随着人类对世界的探索不断深入,被测对象不断延展,测量目的不断延伸,各种测量技术陆续登上历史舞台。时至今日,我们甚至可以做到以时间测量空间,这听起来也许很科幻,但绝不是天方夜谭。来自重庆的时栅团队基于我国精密测量技术发展现状,根据“时空转换”的思维方式提出了以“时间测量空间”这一重要学术思想,并由此诞生了这把原创于中国的“精密尺子”——时栅技术,实现了我国精密位移测量技术及器件的自主可控。从1996年的尝试探索,到如今成功研发出可媲美高端光栅的第三代纳米时栅,二十年的厚积薄发,浸透了科技工作者对自主创新、中国精度的坚守,凝聚着他们闯关夺隘、奋楫笃行的勇气,展示着中国人顽强拼搏、永不言败的精气神。从无到有,是“冲云破雾”的勇气担当在精密加工、工业测控(动态测量)领域,精密位移传感器是不可或缺的重要组成部分,被称为“智能制造之眼”,它的性能直接决定了加工制造环节的精度。定位精度高、可靠性好、使用方便的精密位移传感器在机床加工、检测仪表等行业中得到广泛应用。然而,精密位移测量器件作为核心功能部件,长期被国外巨头们严格战略性封锁,进口传感器存在价格高、货期长、售后难的问题,我国精密位移测量领域面临多重困境,亟待摆脱受制于人的局面,高端位移测量器件的国产替代已到了刻不容缓的地步。关键核心技术是要不来、买不来、讨不来的,要实现本领域的突破,必须依靠自主创新,需要变换研究思路,从原理上进行创新,从根本上解决问题。实现从0到1的突破绝非易事,必得风雨兼程、劈波斩浪。面对种种困难,时栅团队迎难而上,瞄准科技前沿,勇攀高峰。没有案例可模仿,他们自己就做拓荒人;没有经验可借鉴,他们就负重前行;没有理论可参考,他们创造性地提出了利用“时间测量空间”的重要原创学术思想,将梦想命名为“时栅位移测量技术”,突破了高端装备的精密位置检测难题,掌握了精密位移测量关键核心技术的自主知识产权。科技工作者用责任、担当,用勤勉、实干,实现了从微米到纳米精度的跨越,开辟出了一条高端核心功能部件的国产化道路,让智能制造业卡脖子短板破局重生,走出了一条自主可控之路,使我国精密位移测量领域摆脱了受制于人的局面。经过多年的沉淀和发展,时栅技术已发展成为我国智能制造领域的标志性成果,获得国家技术发明二等奖1项、中国专利金奖1项、重庆市技术发明一等奖2项,成功申请国外专利12项、国内专利25项。时栅团队研讨图从有到优,是精益求精的创新追求时栅技术作为我国自主研发的首创性成果,通过建立空间位移和时间基准之间的关系,发挥时间量是人类测量精度最高的物理量这一客观优势,利用时间上的时刻比较来实现位移测量,从而达到高精度的测量目的。可通俗理解为:在相对匀速运动的两个坐标系上互相观察对方,一方的位置之差(位移)表现为另一方观察到的时间之差。十年磨一剑,二十年磨一尺。时栅团队从1996年提出“时栅角度传感器”理念起,坚持自主研发道路,从第一代机械式时栅、第二代磁场式时栅到第三代电场式时栅(即“纳米时栅”),持续攻克“提高测量精度与增加测量范围的矛盾”“精度提高导致的误差溯源困难”与“突破光学衍射极限改善分辨力”三座技术大山,破解产品的可靠性、应用场景的多样化、市场的认可度等多只“拦路虎”,开发出高精度、高可靠性的时栅位移传感器。纳米时栅到底有多精密?在我国最高法定计量机构—中国计量科学研究院的两次现场测试结果和国家角度基准的比对结果显示,纳米时栅精度达到了惊人的±0.06角秒(1°等于3600角秒),精度水平已经达到了现有检测仪器水平的极限。在漫长的时光里,时栅团队用精益求精、一丝不苟的科学家精神,只争朝夕,在承载着责任与梦想的实验室,坚持不懈,让“精耕细作”焕发出新的时代风采。车间作业图从优到强,是全面提速的伟大跨越一粒种子的破土而生,需要合适的温度、湿度、环境以及优质胚胎。同样,任何一项科研成果的成功转化,离不开人才、技术、资金、政策的支持和帮助。“将纳米时栅技术走出实验室,实现产业化”——光有美好的愿景是不够的,闯过了技术关,随之面对的就是应用关和市场关。纳米时栅项目总工程师王勇说,“2021年4月,通用技术集团和重庆理工大学共同成立了通用技术集团国测时栅科技有限公司,标志着纳米时栅成果正式开启转化应用、服务市场用户的新阶段。”现实和理想的距离,正一步步靠近。纳米时栅产业化进程全面提速,当纳米时栅技术在数控机床、半导体行业、计量检测等领域得到批量应用时,当一把中国的精密尺子解决了高精度位置检测难题时,所有人的艰辛和汗水化成两个字:值了!纳米时栅正在逐步填补国内高端精密位移测量领域空白,成为国内高端装备企业发展道路中的坚强后盾。2021年10月,“大量程纳米时栅位移测量技术及器件”作为35项代表科技成果转化的典型案例之一,亮相国家“十三五”科技创新成就展,作为创新科技成果转化制度的第一典型案例参展,展示中国高端装备关键功能部件研发“智造”水平。时栅位移测量技术亮相国家“十三五”科技创新成就展走过万水千山,仍需跋山涉水。和时栅技术一样由我国自主研发的首创性成果不胜枚举。科研是一条严谨与浪漫并存的路,从无到有、从有到优、从优到强的蝶变跃升,是中国科技工作者“冲云破雾”的责任担当、精益求精的完美展现,更是他们沿着强国之路迎难而上、敢闯敢干的生动诠释,每一步脚印,都在书写、见证着一次次伟大的跨越。中国精度,央企智造。面向世界科技前沿、面向经济主战场、面向国家重大需求,无数的中国科研工作者和中国企业在方寸之间钻研、琢磨,努力实现更多“从0到1”的新突破,大步行进在中国精度的逐梦征程上。点击图片报名“精密测量与先进制造”主题网络研讨会
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制