当前位置: 仪器信息网 > 行业主题 > >

电子数显尺

仪器信息网电子数显尺专题为您提供2024年最新电子数显尺价格报价、厂家品牌的相关信息, 包括电子数显尺参数、型号等,不管是国产,还是进口品牌的电子数显尺您都可以在这里找到。 除此之外,仪器信息网还免费为您整合电子数显尺相关的耗材配件、试剂标物,还有电子数显尺相关的最新资讯、资料,以及电子数显尺相关的解决方案。

电子数显尺相关的资讯

  • 京都电子发布ALM-155数显酒精浓度计新品
    ALM-155数显酒精浓度计Digital Alcohol MeterALM-155数显酒精浓度计 适用范围:测定各类饮料酒的酒精度,如: 发酵酒/酿造酒(啤酒、葡萄酒、果酒、黄酒),蒸馏酒(白酒、白兰地、威士忌、伏特加/俄得克、朗姆酒、杜松子酒、奶酒、其他蒸馏酒),配制酒/露酒(植物类配制酒/植物类露酒、动物类配制酒/动物类露酒、动植物类配制酒/动植物类露酒、其它配置酒)的酒精度分析。注: 酒精度(乙醇含量): 系指在20°C时,100mL饮料酒中含有乙醇(酒精)的毫升数,即体积(容量)的百分数。ALM-155数显酒精浓度计 工作原理:数显酒精浓度计的测量,是酒类试样经直接加热蒸馏去除样品中的不挥发物,馏出物用水恢复至原体积,然后将酒样馏出液吸入数显酒精浓度计的U型振荡管,由于U型管中试样密度的变化会引起振动频率的改变,仪器可根据20°C时样品馏出液的振动频率自动计算得到馏出液的相对密度,仪器内置酒精水溶液相对密度与酒精度对照表,可直接测定试样中酒精含量的体积百分数。可取代酒精计法或密度瓶法之酒精度的试验方法。附注: 乙醇和水的二元混合物溶液,可以直接测量酒精浓度值。ALM-155数显酒精浓度计 主要特点:1. 高精确度、占地面积小、性能卓越的台式酒精浓度计。2. 酒精度的解析度为0.01%,密度的解析度为0.00001。3. 标配进样泵,一键启动进样和测量,样品量仅需8mL。4. 内置帕尔贴温控,温度固定20°C。仅需使用纯水校正。5. 可自动存储100组测量结果,数据可传输至U盘或电脑。6. 具酒精水溶液的相对密度与酒精度对照表,显示酒精度。7. 全范围酒精浓度测定,操作简单,精度高,测量速度快。ALM-155数显酒精浓度计 技术参数:测量范围: 酒精度0.00~100.00Vol%,密度0.69937~1.24887g/cm3,相对密度0.70000~1.25000。解析度: 酒精度0.01vol%,密度0.00001g/cm3,相对密度0.00001。重复性: 酒精度SD:0.05%vol%,密度SD:0.00005 g/cm3,相对密度SD:0.00005。测量温度: 20.00°C(固定)。酒精度对照表: 内建OIML和AOAC对照表。测量时间: 2~4分钟(使用标配蠕动泵)。最少样品量: 约8毫升(进样时间10秒)。显示: LCD液晶显示。进样方式: 使用蠕动泵进样或注射器进样。自动开始功能: 重复次数:2~100。校正方式: 使用纯水校正。电脑软件: SOFT-CAP(数据采集软件)。外接界面: USB(U盘或键盘),RS-232C(打印机和电脑)。数据输出: CSV格式至U盘。环境条件: 温度5~35°C,湿度85%RH以下。电源: 100~240V, 50/60Hz。耗电量: 约30W。尺寸: 270(宽)×402(深)×163(高)mm。重量: 约10kg。创新点:京都电子工业株式会社(KEM),从1978年开始生产U形管振荡式密度计,在技术方面有着宝贵的经验和悠久的历史。ALM-155的开发源自于清酒酒精度分析仪DA-155。DA-155多年来主要销售在日本的清酒酿酒厂。大多数清酒酿酒厂都是小型家族企业,他们对可靠的分析仪器需求非常强烈。KEM一直以合理的价格为他们提供简单易用、高性能的分析仪。ALM-155是一种专用的、小尺寸、高性能的台式数字密度计,主要用于分析葡萄酒、啤酒、白兰地、威士忌、伏特加等的密度、相对密度和乙醇浓度的测量。ALM-155的酒精度分辨率为0.01%,相对密度为0.00001。除了具备DA-155的特点外,另增加了密度值的显示、记忆100组测量结果、内置AOAC和OIML酒精度对照表、输出功能增加了USB串口,可利用U盘下载测量结果。在功能和数据储存输出上,更加提升。ALM-155数显酒精浓度计
  • 三大产品线全力升级 东方晶源引领国内电子束量测检测发展
    电子束量检测是半导体量检测领域的主要技术类型之一,在半导体制程不断微缩,光学检测对先进工艺图像识别的灵敏度逐渐减弱的情况下,发挥着越来越重要的作用。电子束量检测设备对于检测的精度、可适用性、稳定性、吞吐量等要求很高,其研发和设计非常具有技术挑战性。作为布局该领域最早的国内企业之一,东方晶源已先后成功推出电子束缺陷检测设备EBI,关键尺寸量测设备CD-SEM(12英寸和6&8英寸),电子束缺陷复检设备DR-SEM,占据电子束量测检测三大主要细分领域,产品多样化和产品成熟度走在前列。同时,经过持续的迭代研发,三大产品线全力升级、性能指标进一步提升,引领国内电子束量测检测产业高速发展。EBI:历时三代焕新,检测速度提升3-5倍EBI(电子束缺陷检测设备)是集成电路制造中不可或缺的良率监控设备。其基本原理是结合扫描电镜成像技术、高精度运动控制技术、高速图像数据处理和自动检测分类算法等,在集成电路制造关键环节对晶圆及集成电路的物理缺陷和电性缺陷进行检测,避免缺陷累积到后续工艺中。东方晶源早在2019年就成功研发并推出的SEpA-i505是国内首台电子束缺陷检测设备,可提供完整的纳米级缺陷检测和分析解决方案,在2021年便进入28nm产线全自动量产。经过数年研发迭代,新一代机型SEpA-i525在检测能力和应用场景方面得到进一步拓展。在检测速率方面,新款EBI产品可兼容步进式和连续式扫描,连续扫描模式适用于存储Fab,结合自研探测器的性能优化,较上一代机型能带来3倍-5倍的速度提升;新开发的电子光学系统可支持negative mode检测方式和40nA以上的检测束流;同时引入多种wafer荷电控制方案,降低荷电效应对图像的影响。在应用场景方面,东方晶源的EBI设备也从逻辑Fab领域延伸至存储Fab,可以为客户解决更多的制程缺陷问题。此外,东方晶源EBI设备基于DNA缺陷检测引擎,采用图前台与运算后台低耦合,支持同步online/offline inspection。集成多种先进缺陷检测算法(D2D、C2C等),可以满足用户不同应用需求,有效提高Capture Rate,降低Nuisance Rate。采用的自动缺陷分类(ADC)引擎,其Model-Based ADC模块基于深度学习、自动特征选取、融合置信度的聚类算法,可以有效提升自动缺陷分类的Purity和Accuracy;Rule-Based ADC模块则保留了人工经验的灵活性,在小样本的场景下可以快速创建。CD-SEM:面向6/8/12英寸产线全面布局CD-SEM(关键尺寸量测设备)主要是通过对于关键尺寸的采样测量,实现对IC制造过程中,光刻工艺后所形成图形尺寸进行监控,以确保良率。东方晶源的CD-SEM分为12英寸和6&8英寸兼容两个产品系列,均已进入用户产线,可支持Line/Space、Hole/Elliptic、LER/LWR等多种量测场景,满足多种成像需求。12英寸CD-SEM新一代机型SEpA-c430经过2年的迭代,在量测性能和速度上实现全面提升,目前也在多个客户现场完成验证。该产品的量测重复精度达到0.25nm,满足28nm产线需求;通过提升电子束扫描和信号检测,产能提高30%;新推出的晶圆表面电荷补偿功能,可以提高光刻胶量测的能力。新机型还增加了自动校准功能,可确保较高的量测一致性,为产品的大规模量产做好了准备。除12英寸产品外,东方晶源6&8英寸CD-SEM产品相较国际大厂新设备的交期长、价格高具有更高的性价优势。面向第三代半导体市场推出的SEpA-c310s,不仅实现了6&8 英寸兼容,同时还可兼容不同材质的晶圆(例如GaN/SiC/GaAs),兼容不同厚度的晶圆(例如350um,1100um)。该产品已在多个头部客户实现了量产验证。值得一提的是,2022年底东方晶源ODAS LAMP产品已正式发布。ODAS LAMP全称为Offline Data Analysis System, Large Scale Automatic Measurement Purpose产品,中文名称为大规模CD量测离线数据处理系统。ODAS LAMP作为CD-SEM量测设备的配套工具,目的在于方便CD-SEM用户利用设计版图离线创建和修改CD-SEM recipe,并且提供对CD-SEM量测结果的review功能,也可以在CD-SEM图像上进行离线再量测,提升机台利用率。DR-SEM:瞄准新需求,开拓新领域DR-SEM(电子束缺陷复检设备)是东方晶源最新涉足的细分领域。根据SEMI数据,2024年12英寸产线DR-SEM需求量约为50台。未来3-4年,12英寸产线DR-SEM设备总需求量约为150台,具有广阔的市场空间。2023年东方晶源推出首款SEpA-r600,目前已经出机到几个头部客户进行产线验证。在设备开发过程中,得益于公司前期的技术积累,开发进程得以显著缩短。图像质量已达到客户需求,CR95%,接近成熟机台水平。在辅助光学系统复检OM的研发方案选择中,东方晶源独立开发出一套全新光学窗口成像系统。借助于这套系统,目前已完成对unpatterned wafer的光学复检功能的开发,实现了auto bare wafer review的功能,满足客户对70nm左右defect的复检需求。也就是说,东方晶源的DR-SEM设备不仅能够进行pattern wafer auto review ,也能够进行unpattern wafer review功能,并附带缺陷元素分析。另外,DR-SEM的高电压电子枪能够满足客户对浅层缺陷的分析,同时对较深的孔底部也能够有明显的信号。根据针对客户需求深度拆解,这款DR-SEM设备还引入了全彩OM,能实现色差调整,以满足不同film内部color defect的检测,为客户提供更多的表征手段。未来,东方晶源新一代DR-SEM设备将结合下一代自研EOS,搭配深紫外DUV辅助光学检测系统,预期可满足更先进制程全流程的defect复检需求。从2021年6月EBI设备通过产线验证进入全自动量产以来,东方晶源加快研发步伐,先后又成功推出12英寸CD-SEM、6&8英寸兼容CD-SEM、DR-SEM多款产品,并持续通过迭代升级提升设备性能和效率,解决了国产半导体发展中的关键难题,领跑国内相关领域发展。未来,东方晶源将围绕集成电路良率管理继续深耕,为产业带来更多的硬件和软件产品,推动行业发展和进步。
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • KLA推出全新突破性的电子束缺陷检测系统
    p2020年7月20日KLA公司宣布推出革命性的eSL10™ 电子束图案化晶圆缺陷检查系统。该系统具有独特的检测能力,能够检测出常规光学或其他电子束检测平台无法捕获的缺陷,从而加速了高性能逻辑和存储芯片的上市时间(包括那些依赖于极端紫外线(EUV)光刻技术的芯片)。eSL10的研发是始于最基本的构架,针对研发生产存在多年的问题而开发出了多项突破性技术,可提供高分辨率,高速检测功能,这是市场上任何其他电子束系统都难以比拟的。/ppKLA电子束部门总经理Amir Azordegan表示:“利用单一的高能量电子束,eSL10系统将电子束检测性能提升到了一个新水平。在此之前,电子束检测系统不能兼顾灵敏度和产能,严重限制了实际的应用。我们优秀的研发工程团队采用了全新的方法来设计电子束架构以及算法,研制出的新系统可以解决现有设备无法解决的问题。目前,KLA将电子束检测列入对制造尖端产品至关重要的设备清单。”/ppimg style="max-width:100% max-height:100% " src="https://www.semi.org.cn/img/news/sdfffdsffsd.jpg"//pp图:针对先进的逻辑、DRAM和3D NAND器件,KLA革命性的eSL10™ 电子束图案化晶圆缺陷检测系统利用独特的技术发现甄别产品中的关键缺陷。/ppeSL10电子束检测系统具有多项革命性技术,能够弥补对关键缺陷检测能力的差距。独特的电子光学设计提供了在业界相对比较广泛的操作运行范围,能够捕获各种不同制程层和器件类型中的缺陷。Yellowstone™ 扫描模式每次可以扫描收集100亿像素的信息,支持高速运行的同时不会影响分辨率,以在较大区域内也能高效地研究潜在弱点,实现缺陷发现。Simul-6™ 传感器技术可以通过一次扫描同时收集表面、形貌、材料对比度和深沟槽信息,从而减少了在具有挑战性的器件结构和材料中识别不同缺陷类型所需的时间。凭借其先进的人工智能(AI)系统,eSL10运用了深度学习算法,能满足IC制造商不断发展的检测要求,杜绝了对器件性能影响最关键的缺陷。/pp三维器件结构,例如用于内存应用的3D NAND和DRAM,以及用于逻辑器件的FinFET和GAA(Gate-All-Around)结构,都要求晶圆厂重新考虑传统的缺陷控制策略。eSL10与KLA的旗舰39xx(“ Gen5”)和29xx(“ Gen4”)宽光谱晶圆缺陷检测系统的结合,为先进的IC技术提供了强大的缺陷发现和监测解决方案。这些系统共同合作,提高了产品的良率和可靠性,将更快地发现关键缺陷,并能够更快地解决从研发到生产的缺陷问题。/pp新推出的eSL10系统平台具有独特的扩展性,可以延申到整个电子束检测和量测应用中。全球范围内先进的逻辑器件、存储器和制程设备制造商都在使用eSL10系统,利用该系统帮助研发生产过程,提升和监测下一代产品制程和器件的制造。为了保持其高性能和生产力表现,eSL10系统拥有KLA全球综合服务网络的支持。更多关于全新电子束缺陷检测系统的其他信息,请参见eSL10产品页面。/p
  • 日立应用|大开眼界——你经常吃的甜食在电子显微镜下呈现的样子
    甜食是人们最喜欢吃的食物之一,它除了可以提供我们一定的能量以外,它还可以给我们带来快乐。小朋友喜欢吃的糖果,女生喜欢吃的冰激凌,都是我们常见的甜食,但是你知道这些食品在电子显微镜下都长什么样吗?跟我一起来看看这些甜品放大后的神奇形貌吧!图1 巧克力放大图,背散射模式(左)和二次电子模式(右)巧克力在台式扫描电子显微镜Hitachi TM4000plus上利用冷冻样品台拍摄的样品图片,可以到上面有很多花朵一样的团簇,利用常规的光学显微镜是无法看到这么多信息的。 图2 两种冰淇淋的电镜放大图冰淇淋在电子显微镜中的样子,不同的冰淇淋所包含的冰晶大小和油脂含量是不一样的,这样我们咀嚼起来的口感就会差别很大,有的口感粗糙,有的口感细腻。通过直接的形貌观察可以清楚看到冰淇淋放大后的形貌,对于了解不同风味的冰淇淋为什么口感差异那么大,是有一定帮助的。而对于冰淇淋生产厂家来讲,通过合适的质量控制工艺,保证细腻口感,赢得消费者的亲睐绝对是共同追求的目标。公司介绍:日立科学仪器(北京)有限公司是世界500强日立集团旗下日立高新技术有限公司在北京设立的全资子公司。本公司秉承日立集团的使命、价值观和愿景,始终追寻“简化客户的高科技工艺”的企业理念,通过与客户的协同创新,积极为教育、科研、工业等领域的客户需求提供专业和优质的解决方案。 我们的主要产品包括:各类电子显微镜、原子力显微镜等表面科学仪器和前处理设备,以及各类色谱、光谱、电化学等分析仪器。为了更好地服务于中国广大的日立客户,公司目前在北京、上海、广州、西安、成都、武汉、沈阳等十几个主要城市设立有分公司、办事处或联络处等分支机构,直接为客户提供快速便捷的、专业优质的各类相关技术咨询、应用支持和售后技术服务,从而协助我们的客户实现其目标,共创美好未来。
  • 蔡司、牛津、Fischione中标中国海洋大学聚焦离子-电子双束电镜采购项目
    日前,中国海洋大学聚焦离子-电子双束电镜采购项目中标结果公布。该项目预算800万元,采购聚焦离子-电子双束电镜,蔡司、牛津、Fischione三品牌以799.14636万元联合中标。一、项目编号:HYHAQD2024-0122(招标文件编号:HYHAQD2024-0122)二、项目名称:中国海洋大学聚焦离子-电子双束电镜采购项目三、中标(成交)信息供应商名称:山东渥盛信息科技有限公司供应商地址:山东省济南市历下区山大路47号数码港大厦1-608中标(成交)金额:799.14636(万元 人民币)四、主要标的信息货物名称品牌规格型号单价(元)数量聚焦离子-电子双束电镜蔡司Crossbeam350美元858000.001EDS能谱仪/EBSD电子背散射衍射系统牛津Ultim Max 40/C-Nano+美元130000.001离子减薄仪FischioneModel 1051美元110000.001
  • 电子剥离试验机测试压敏胶带的标准适用于捆扎线束胶带吗
    在胶带行业中,压敏胶带和捆扎线束胶带各自扮演着不同的角色。压敏胶带以其特有的粘附性能,广泛应用于各类包装、固定、密封等场景。而捆扎线束胶带则因其出色的绑扎、绝缘和固定性能,在电子、电气等领域发挥着不可替代的作用。然而,关于电子剥离试验机测试压敏胶带的标准是否适用于捆扎线束胶带这一问题,却常常引发业内的讨论和争议。一、电子剥离试验机与压敏胶带测试标准电子剥离试验机作为一种精密的测试设备,主要用于测量胶带在一定条件下的剥离强度。在压敏胶带的测试标准中,通常规定了剥离速度、剥离角度、剥离力等参数,以确保测试结果的准确性和可靠性。这些标准旨在反映压敏胶带在实际应用中的粘附性能,为产品质量的评估和改进提供依据。二、捆扎线束胶带的特性与应用捆扎线束胶带通常由尼龙或其他高强度材料制成,具有优异的绝缘性、耐磨性和耐候性。它主要用于电子线束的固定和绝缘保护,确保线束在复杂的工作环境中能够稳定运行。捆扎线束胶带不仅需要具备一定的粘附力,还需要能够承受一定的拉伸和剪切力,以满足线束固定的需求。三、电子剥离试验机测试标准与捆扎线束胶带的适用性从理论上讲,电子剥离试验机测试压敏胶带的标准在一定程度上可以应用于捆扎线束胶带的测试。毕竟,剥离强度是评估胶带粘附性能的重要指标之一。然而,在实际操作中,我们需要注意到捆扎线束胶带与压敏胶带在结构和性能上的差异。捆扎线束胶带往往需要承受更大的拉伸和剪切力,因此在测试时可能需要调整剥离速度、角度等参数,以更准确地反映其实际性能。此外,由于捆扎线束胶带的应用场景较为特殊,其阻燃性、耐磨损性和降噪性等性能也是评估其质量的重要指标。这些性能在电子剥离试验机的测试中可能无法得到充分体现,因此需要结合其他测试方法进行综合评估。四、结论与建议综上所述,电子剥离试验机测试压敏胶带的标准在一定程度上可以应用于捆扎线束胶带的测试,但需要注意调整测试参数以更准确地反映其实际性能。同时,为了全面评估捆扎线束胶带的质量,还需要结合其他测试方法进行综合评估。建议相关企业和研究机构在制定捆扎线束胶带测试标准时,充分考虑其特殊性能和应用场景,确保测试结果的准确性和可靠性。
  • 美科学家研发新型电子显微技术 可在原子尺度上测磁性
    美国能源部橡树岭国家实验室研究人员与瑞典乌普萨拉大学的同行合作,开发出一种新型电子显微技术,可在原子尺度上检测材料的磁性。研究人员称,这一技术或可为制造体积更小的磁性硬盘驱动器提供新思路。  在电子显微技术领域,光学镜头造成的像差是一个让人头疼的问题,像差的扭曲效果会使图像模糊,不利于观测。因此,在过去数十年,研究人员一直想方设法消除各种像差,以求得到更清晰的图像。但此次橡树岭国家实验室和乌普萨拉大学的研究人员却反其道而行之,他们不但没有设法完全消除像差,还有意添加了一种被称为四倍散光的像差,利用这种像差效果成功地从镧锰砷氧化材料中收集到了原子水平的磁信号。  研究人员称,这还是第一次有人利用电子显微镜的像差效果来检测材料的磁性。在原子尺度上检测材料的磁性特点具有重要意义,但目前使用的观测手段还不足以让他们在这么小的尺度上进行观测,新方法则赋予了他们一个全新的观测手段,使其有了研究材料的全新方式,具有重要价值。比如,利用这种方法可在原子尺度上弄清磁性硬盘驱动器的磁性特点,从而造出体积更小的硬盘驱动器。  研究人员还指出,这一新的电子显微技术是对现有技术,如X射线光谱和中子散射技术的有效补充。这些技术是目前研究磁性的标准技术,但其分辨率不够高,而新技术明显弥补了这一缺点。
  • “中国好电镜”系列研讨会丨电子束敏感多孔材料的透射电子显微镜表征
    在材料显微结构表征方面,电子显微镜(包括SEM、FIB、TEM)有着无可比拟的优势,在科学研究,工业领域等作用日益增长。为了有效推动电子显微镜表征技术的发展,深入了解不同电子显微镜的性能特点,充分发挥仪器功效,提高广大用户的分析测试水平及解决实际使用中的难题,赛默飞将在2023年举办“中国好电镜”系列研讨会,特别邀请国内著名的专家学者和赛默飞资深电镜应用科学家与大家交流前沿电镜表征技术。 扫描/透射电子显微镜(S/TEM)可以对材料的结构进行直接成像,能在原子尺度上建立材料的性质与其局域结构之间的相关性。虽然高分辨率 TEM 和 STEM是大多数材料结构的常规表征手段,但由于电子束敏感材料(如典型的多孔材料分子筛、金属有机骨架(MOFs)、共价有机骨架(COFs)等)极端的不稳定性,以常规方式观察它们的局域结构仍然是一个极大的挑战。电子束敏感材料对电子束辐照极为敏感,在常规S/TEM成像模式下,其结构会被立即破坏变为非晶,从而无法得到其局域结构的原子排列信息。因此,如何在无损伤的条件下以高分辨率和高信噪比在实空间中对典型的电子束敏感材料的结构直接成像是TEM和STEM技术应用的难点。 本次研讨会特别邀请清华大学陈晓老师为大家从原子尺度解析多孔材料分子筛局域结构及主客体相互作用,分享其使用超低电子剂量高分辨电子显微技术在电子束敏感多孔材料结构表征中的成功案例。同时邀请赛默飞透射电镜应用科学家刘苏亚博士为大家直播演示如何在球差校正透射电子显微镜Spectra 300平台上对电子束敏感多孔材料进行超低电子剂量下原子尺度直接成像。 特 邀 报告 陈晓 清华大学化工系助理研究员 多孔材料局域结构及主客体相互作用原子尺度结构研究2023.04.20----14:30-15:30个人简介其研究方向主要是发展多孔材料低剂量原子尺度成像方法,致力于分子筛中单分子成像以及主客体相互作用的直接观测,以期从分子层面甚至是原子层面理解和探索这些化学反应过程中的分子进出机制以及客体分子与主体骨架间的作用行为。目前已发表文章50余篇,其中(共同)第一作者/通讯作者12篇,包括 Nature(3篇)、Science(1篇)、Nat. Commun.(4篇)、Adv. Mater.(1篇)、JACS(1篇)等。其中“A single molecule van der waals compass”(Nature. 592, 541(2021))的工作入选 2021 年度“中国高等学校十大科技进展”,获得第三届中国分子筛新秀奖、2022 年度清华大学优秀博士后,入选2022年度中国区“35岁以下科技创新35人”榜单。报告摘要多孔材料由于其特殊的孔道结构成为了催化、分离、医药等多个领域不可替代的原材料,分子筛作为典型的多孔材料在石油化工、煤化工裂解、异构化、芳构化及烷基化等反应中同样发挥着不可替代的作用。因此从分子层面甚至是原子层面理解和探索这些化学反应过程中的分子进出机制以及客体分子与主体骨架间的作用行为对于理解和认识这些工业化背后的微观行为尤为关键,尤其是工况服役状态下的催化剂的本征行为至关重要。该报告将以分子筛催化剂为研究对象,尤其是对工业化中应用最为广泛的ZSM-5进行了系统的研究。首先研究了在超低电子剂量的条件下研究分子筛亚纳米尺度局域结构解析和原位观察限域分子动态行为的方法,在常温甚至是高温的条件下“冷冻”分子,观测了单分子进出孔道的行为,研究限域小分子动态行为和主客体相互作用以及这类折形分子筛中单个芳烃分子的转动行为、加入氢键力作用后定量化了分子在孔道中的作用方式,在原位观测分子进出孔道的基础上解决了60年来困扰科研人员分子筛筛分比孔道稍大点的分子的微观机制。在不断对分子筛有深入理解的过程中希望能够为十万亿产值的工业化过程提供新的见解。扫描上方二维码报名线上网络研讨会Demo演示 刘苏亚 博士超低电子剂量下对电子束敏感多孔材料进行原子尺度直接成像2023.04.21----14:30-15:302019年毕业于浙江大学材料科学与工程专业,主攻非晶合金的结构表征及相关应用。同年入职赛默飞世尔科技,主要从事透射电镜的应用支持工作,拥有十余年的电镜使用经验。扫描上方二维码报名线上Demo演示
  • 1337万!大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目
    项目编号:DUTASZ-2022066项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目预算金额:1337.0000000 万元(人民币)最高限价(如有):1337.0000000 万元(人民币)采购需求:各包预算金额及最高限价:A包:X射线光电子能谱仪,预算金额:500万元;B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元;A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析;B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。本项目( 不接受 )联合体投标。
  • 纳米尺度富勒烯电子器件可自行制冷
    据美国物理学家组织网4月3日报道,近日,美国伊利诺伊大学研究人员宣布,他们用原子力显微镜探针检测了与富勒烯(石墨单原子层)接触点的热电效应,首次发现富勒烯晶体管在纳米尺度具有自行制冷效应,能降低自身温度。该研究成果发表在4月3日网络版的《自然纳米技术》杂志上。  计算机芯片的速度和尺寸大小受制于散热效果。电流通过设备材料由于碰撞而产生热,这种现象称为电阻热,这种热大大超过了给设备局部制冷的电效应,因此绝大部分电子设备都需要散热。使用硅芯片的计算机要用风扇或流水给晶体管制冷,这一过程消耗了大量的电能。  未来由富勒烯制造的计算机芯片,比硅芯片速度更快更省电。但由于富勒烯太薄,人们对它的发热散热机制一直不太了解。由伊利诺伊大学机械科学与工程教授威廉姆金和该校微尺度与纳米技术实验室电学与计算机工程教授埃里克波普共同领导的研究小组,用一种原子力显微镜探针(AFM tip)作为温度计,扫描了一个富勒烯—金属接头,首次测量了富勒烯晶体管在工作过程中的温度。他们发现,在富勒烯晶体管和金属接触点,热电制冷效应比电阻发热效应更强,晶体管的温度更低。  “在硅和大部分材料中,电热效应比它们的制冷效应要强得多。”金解释说,“但我们发现在富勒烯晶体管中,存在一个制冷效果比电阻热更强的区域,让它们能自行冷却。以前从未发现过富勒烯设备有这种自行制冷效应。”而这种自行制冷效应意味着,富勒烯电子设备不需要制冷,或只要很少的制冷,将带来更高的能效,进一步加大了富勒烯作为硅替代品的吸引力。  波谱表示,富勒烯电子设备还处在初级阶段,这一新发现将使它在热电方面的应用得到加强。下一步,他们打算用AFM温度探针来研究碳纳米管及其他材料的冷热效应。
  • 【综述】电化学催化剂的透射电子显微学研究综述
    p  span style="color: rgb(112, 48, 160) "strong前言/strong/span/pp  能源问题一直是困扰人类生存发展的终极问题之一,随着时代的进步,不断革新的科学技术为解决这一问题带来了曙光。其中电催化是目前有效的手段之一,涉及诸多新能源和环境保护的研究方向,包括燃料电池、水裂解、制氢、二氧化碳资源化利用等。其中,研究电化学催化剂的微观结构,并监测电催化剂在电催化反应过程中的结构演变规律,对于设计新材料、开发新能源具有重要的意义。/pp  电子显微镜作为研究学者的“电子眼”,不但可以直接观察固体催化剂的形貌,而且可以在原子尺度提供催化剂的精细结构、化学信息和电子信息,对新型高效催化剂的发现、反应过程中催化剂结构演变及结构和性能之间关系的研究起到了重要作用。因此,电子显微学方法作为一种重要的表征技术在催化化学的发展中扮演着至关重要的角色。在过去20年中,电子显微学在电催化领域内也得到了广泛的应用。最近中国科学院金属研究所张炳森研究员课题组对电化学催化剂的透射电子显微学研究进行了总结,并指出了存在的挑战和未来发展方向。/pp strong span style="color: rgb(112, 48, 160) "1. 透射电子显微学方法对电化学催化剂的基本表征/span/strong/pp  与材料研究中其它表征技术(如:X射线衍射、X射线光电子能谱、Raman光谱等)相比,透射电子显微镜具有很高的空间分辨率,可以在纳米尺度甚至是原子尺度下对催化材料结构进行研究,极大地促进了催化化学的发展。透射电镜目前已经发展为综合型分析电镜,从催化剂的微观结构,到化学组成,以及电子结构等信息都可以利用透射电镜分析获得。/pp strong 1.1电化学催化剂微观结构表征/strong/pp  电化学催化剂的微观结构,如:颗粒形貌、尺寸、暴露晶面、表界面结构等,对催化剂的性能有非常重要的影响,利用高分辨电子显微术(HRTEM)可以获得这些信息。值得注意的是,在负载型金属催化剂中,很多情况中会有很小的纳米颗粒和原子团簇存在,利用高分辨透射电子显微术(相位衬度成像)观察时可能会忽略这些信息,而利用高角环形暗场-扫描透射电子显微术(HAADF-STEM,Z衬度像)可以很容易地观察到这些颗粒的存在。目前,亚埃尺度分辨的球差校正透射电子显微镜的发展,实现了更好地在原子尺度下观察催化剂表界面结构,同时也促进了单原子电催化剂的发展。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/f0f6b75a-dca5-4054-932d-4946fad9e0f5.jpg" title="1.jpg"//pp style="text-align: center "  strong图1. 纳米颗粒的HRTEM图片:(a)多面体/strong/ppstrongPtNix单晶纳米颗粒,(b,c)多晶PtNix纳米颗粒,(d)核壳结构Pt/NiO纳米线,(e)PtNi合金纳米线,(f)锯齿状的Pt纳米线。(a,c)图中右下角插图分别是对应PtNix纳米颗粒的形状模型图和原子模型图,(a-c,f)图中右上角插图为对应纳米颗粒的傅立叶变换图。/strong/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/da1074c4-9a68-49ef-ad5c-007b7e4e4f96.jpg" title="2.jpg"//pp  strong图2.(a)Pt/[TaOPO4/VC]-NHT的TEM图片,(b)相同区域的HAADF-STEM图片 (c,d)球差校正透射电子显微镜获得的高分辨HAADF-STEM图片:(c)核壳结构PtPb/Pt纳米片和(d)MoS2负载单原子Pt(左下角插图是相应的构型模拟图)。/strong/pp strong 1.2电化学催化剂的化学成分及电子结构表征/strong/pp  双金属及多元金属催化剂是电催化中常用的催化剂,其化学组成及元素的分布对于催化剂的性能也有着至关重要的影响。X射线能谱(EDS)分析不仅可以对电催化剂的化学成分进行半定量分析,同时利用面扫和线扫,也可以得到相应元素在催化剂颗粒中的分布情况。除EDS表征手段,电子能量损失谱(EELS)对催化剂中的元素组分进行定性、定量和元素分布分析等也具有独特的优势,尤其在分析B、O、N等轻元素时,与EDS分析相比,会得到更精确的信息。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/45b9bfc5-c80a-4c25-b99d-f4a411601a16.jpg" title="3.jpg"//pp  br//pp strong 图3.(a)PtNix纳米颗粒的HAADF-STEM图和EDS面扫图,(b)核壳结构Pt/NiO、PtNi合金、锯齿状Pt纳米线的EDS线扫曲线(插图中绿线代表对应的线扫轨迹),(c)100 ?C水热条件下得到的B/P共掺杂有序介孔碳的TEM图片和B、C、O、P元素的能量过滤TEM图片。/strong/pp  影响电化学催化剂催化性能的另一个重要因素是催化剂中原子的电子结构。EELS除了可以进行成分分析,其另一个重要且常用的功能是分析催化剂中原子的电子结构,从而可以得到相应元素的价态、配位情况等,进而获取相关信息,例如:负载型金属催化剂中金属-载体间电子相互作用,纳米碳材料中掺杂原子的种类及电子结构等。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/bcafabc9-8776-44d7-b3c5-0e6e40886088.jpg" title="4.jpg"//pp  strong图4.(a,b)Pt-CeOx样品中Ce-M45边和O-K边的电子能量损失谱,(c,d)N-掺杂石墨烯样品中N-K边和C-K边的电子能量损失谱,(e,f)三种B-掺杂类洋葱碳样品中B-K边和C-K边的电子能量损失谱。/strong/pp span style="color: rgb(112, 48, 160) "strong 2. “相同位置-电子显微学”方法(IL-TEM)用于电化学测试条件下电催化剂的结构演变研究/strong/span/ppstrong  2.1 IL-TEM方法简介以及其在商业Pt/C电催化剂稳定性研究中的应用/strong/pp  该方法通过将电催化剂分散在坐标微栅上,在透射电镜下准确记录反应前某一具体位置催化剂的微结构信息 随后将携带样品的微栅放到工作电极上,保证接触良好的前提下,将该工作电极置于反应环境中 待反应结束,将坐标微栅从反应体系中取出,并在透射电镜中根据具体的坐标定位追踪反应前记录的位置。通过反应前后、或反应中各个阶段相同位置催化剂结构对比和统计分析,揭示催化剂在反应条件下的结构演变规律,并结合性能测试结果精确阐述构效关系。IL-TEM方法最初应用于电化学反应体系,例如:德国马普Mayrhofer组和西班牙Feliu组等利用此方法研究了铂基催化剂在电化学处理过程中的微结构演变,如负载铂纳米颗粒的脱落、溶解、迁移、团聚长大以及碳载体的腐蚀等特征行为。通过对负载活性组分(纳米颗粒)以及载体(活性炭)结构演变的同时观察,并关联其性能,揭示了不同反应条件下催化剂的失活机制问题。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/571bfe7a-296b-4eef-a73c-e9eb15528350.jpg" title="5.jpg"//pp  strong图5.(a, b)IL-TEM方法在电化学三电极测试体系中的应用示意图,(c-f)利用坐标微栅在透射电镜下通过依次放大追踪相同位置催化剂的微结构信息。/strong/ppstrong  2.2 IL-TEM方法在电化学新材料体系中的应用/strong/pp  各类新型纳米碳材料,如纳米碳球、碳纳米管、石墨烯等,具有优异的导电性、耐酸碱性以及较高的比表面积和丰富的孔结构等特点在能源转化领域得到了广泛关注。其本身通过杂原子改性作为氧还原和二氧化碳还原反应电催化剂被大量研究。除此以外,利用表面改性纳米碳作为电催化剂载体调控活性组分与碳载体间相互作用也是近几年新兴的研究热点之一,通过使用IL-TEM方法跟踪负载纳米粒子在改性碳载体表面的迁移、团聚和溶解等行为直观揭示不同表面修饰对电催化剂的稳定作用。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/f57af8d7-c227-4571-8e0c-ed72ae77f569.jpg" title="6.jpg"//pp  strong图6. IL-TEM方法用于氮掺杂碳纳米球负载Pt催化剂在氧还原反应(左上)、氧官能团化和氮掺杂改性碳纳米管负载Pt催化剂在甲醇电氧化反应(左下)、及化学接枝法改性石墨烯负载Pt催化剂在氧还原反应(右)中的稳定性研究。/strong/ppstrong  2.3 IL-TEM方法拓展应用于传统液相催化反应/strong/pp  目前,IL-TEM方法已成功应用于电化学体系,直观揭示了不同反应条件中催化剂结构演变,以及碳材料载体表面性质对于负载金属电催化剂的稳定性影响及失活机制。而在环境电镜或原位透射样品杆中难以实现的传统液相催化反应体系中,IL-TEM方法也具有独特的优势。金属研究所张炳森、苏党生课题组在2016年底报道了此方法在液相催化反应(芳硝基化合物选择性加氢)中的应用,也是此方法第一次应用在传统液相催化反应体系中,通过研究反应条件下相同位置催化剂的结构演变过程,直观证明了氮物种的引入对负载的铂纳米颗粒的稳定性起重要作用,实现了铂-碳相互作用调节提升碳基负载型催化剂催化性能。该方法为精确研究液相催化反应中催化剂的构效关系,尤其是复杂液相催化反应体系,如固液、气液固等三相共存反应体系,探索复杂液相环境中催化反应活性中心的诱导产生、演变等行为规律提供了很好的手段,并更好地为新型高效催化剂的开发提供指导。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/64e15822-6ae3-433a-be3c-a0a0ff5988f2.jpg" title="7.jpg"//pp strong 图7. IL-TEM方法在液相反应体系中的应用示意图(左上) 氧官能团化以及氮掺杂改性碳纳米管负载高分散铂纳米粒子催化剂相同位置在反应前后的透射电镜对比图(左下) 氮掺杂碳纳米管负载高分散铂纳米粒子催化剂相同位置在不同反应时间的HAADF-STEM图(右图)。/strong/ppstrong  /strongspan style="color: rgb(112, 48, 160) "strong3. 原位电化学样品杆的应用前景/strong/span/pp  常规透射电镜表征,样品所处的环境是真空和室温,与实际电催化剂所处的液体环境差距较大,并且是对反应前后进行随机取样表征,不够直观准确且存在严重的滞后效应,因此需要开展原位表征。电化学原位透射样品台的出现为实时观察服役环境下电催化剂的微结构以及结构演变提供了有效研究手段,并通过与电化学工作站联用可以得到实时性能数据,为揭示电催化反应黑匣子提供重要参考依据。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201711/insimg/9dc78db6-8ef1-4d37-b32f-52ad3873eddb.jpg" title="8.jpg"//pp  strong图8.(a, b)电化学原位透射样品杆示意图,(c, d)电化学测试实时数据。/strong/ppstrong /strongspan style="color: rgb(112, 48, 160) "strong 4. 总结与展望/strong/span/pp  先进电子显微方法(分析型电子显微方法和高分辨电子显微方法)的发展提供了从微观尺度认识和理解电化学纳米催化剂结构特征的有效手段。该文通过大量研究工作全面系统地综述了透射电子显微术在揭示电催化剂纳米尺度形貌、原子尺度精细结构、化学组成以及电子结构等信息方面的重要作用,对新型高效电催化剂的设计研发、反应过程中的催化剂结构演变及结构性能间关系等的研究具有指导意义。“相同位置-电子显微学”方法的引入对于研究真实反应条件下催化剂的结构动态行为特征,揭示其稳定性和失活机理等方面提供了更直观准确的研究手段。同时,前沿性研究中电化学原位透射样品台的介绍,展望了将常规透射电镜对电催化剂的表征转变为在线可视化的电化学微型实验室的研究趋势 通过在电子显微镜中建立微纳米反应室,获取真实反应条件下催化剂活性位结构特征,使其成为电化学催化剂的创新工具。/pp style="text-align: center "---------------------------------------------------------------------br//pp  Liyun Zhang,Wen Shi,Bingsen Zhang, A review of electrocatalyst characterization by transmission electron microscopy, Journal of Energy Chemistry,DOI:10.1016/j.jechem.2017.10.016/p
  • 我国科学家利用自由电子束实现低维材料的谷电子自旋极化调控
    随着摩尔定律接近极限,传统的晶体管器件已进入发展瓶颈期,探索新一代信息材料已成为当前信息领域的研究热点。低维量子材料具有谷电子自旋的独特性质,有望成为新一代信息材料在未来6G信息技术和产业中发挥重要作用。然而,如何实现低维量子材料的谷电子自旋极化调控是推动该材料实际应用面临的重大研究挑战之一。近期,在国家重点研发计划“纳米科技”重点专项的支持下,我国科学家设计了结构对称的纳米天线与六方氮化硼/二硒化钨/六方氮化硼的金属/介质复合纳米结构,利用超高分辨电子束精准激发金属结构的圆偏振偶极电磁模式,通过近场相互作用在纳米尺度实现了对低维材料谷极化的调控。同时,研究人员发现电子束激发位点的移动(空间分辨率小于5纳米),能够在50纳米内实现谷极化的“开”和“关”,以及100纳米内的谷极化态反转。该研究提出的新型低维量子材料谷极化电子束操控方案,可指导谷电子器件纳米尺度集成,在逻辑运算、光电存储及未来量子信息研究方面具有重要意义。
  • 国产!惠然微电子全自主研发半导体关键尺寸量测设备CD-SEM出机
    2024年6月14日,惠然微电子顺利出机全自主研发的首台半导体关键尺寸量测设备(Critical Dimension Scanning Electron Microscope, 简称CD-SEM),标志着公司在半导体量检测领域取得了阶段性突破,为半导体量检测设备的国产化注入了新的活力。芯片制造需要上千道工序,其中光刻机、刻蚀机、薄膜沉积和量检测设备是半导体晶圆制造最关键的设备。目前,我国DUV、EUV光刻机和电子束量检测设备在半导体核心设备领域的自主可控度上,存在“高风险”和“难以覆盖”,惠然微电子正是在这个大趋势下应运而生,聚集国内外高精尖核心技术人才,拼搏努力,取得了阶段性的成果。CD-SEM是先进的全自动晶圆在线测量设备,它利用电子束扫描成像技术,主要在晶圆制造过程中实现关键工艺参数监控,应用于显影后光刻胶的临界尺寸测量以及刻蚀后接触孔直径/通孔直径和栅极线条宽度测量,是提高芯片制造良率、维持产品质量一致性的关键设备。惠然微电子掌握底层设计能力,在电子光学系统、图像处理算法、高速晶圆传输系统均为自主设计,为集成电路的多层化、复杂化提供重要的微观数据。惠然微电子表示,攻克“卡脖子工程”,需要众志成城,惠然微电子将与客户、供应商、合作伙伴共同努力,持续攻克电子束稳定性和分辨率、精确定位和控制、图像增强和分析以及提高测量速度等关键技术难题,将加快产品迭代,为集成电路产业提供更多高性能及可靠性的选择,为产业贡献自己一份力量。成立于2024年4月12日的惠然微电子总部位于无锡,基于自主的核心电子光学技术,为半导体产业提供高分辨、高能效的电子束量检测设备和科学仪器,拥有有效提升晶圆良率的软硬件全面解决方案。惠然微电子基于电子光学优势生产的半导体关键尺寸量测设备(Critical Dimension Scanning Electron Microscope, 简称CD-SEM)、缺陷检测设备(Electron-Beam Inspection, 简称EBI)是晶圆生产质量控制和良率保证的关键设备,为集成电路的多层化、复杂化提供重要微观数据;与此同时,公司推出的场发射扫描电子显微镜(SEM)在半导体领域涵盖原材料、设备、芯片设计、晶圆制造、封装测试、分立器件、终端产品的生产与研发过程中发挥重要作用。惠然微电子持续秉持“成为用户信赖的半导体量检测设备解决方案供应商”的愿景,践行“技术领先,服务至上,提升良率,为半导体产业提供卓越支持”的使命,紧跟国家半导体产业的战略布局,加大研发力度,不断创新和改进电子束量检测技术,加强产业链协同发展,共同推动行业的发展。
  • 成果分享|电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱仿生制造
    div class="rich_media_content " id="js_content" style="margin: 0px padding: 0px overflow: hidden color: rgb(51, 51, 51) font-size: 17px overflow-wrap: break-word text-align: justify position: relative z-index: 0 font-family: -apple-system-font, BlinkMacSystemFont, " helvetica="" pingfang="" hiragino="" sans="" microsoft="" yahei="" font-style:="" font-variant:="" font-weight:="" letter-spacing:="" text-indent:="" text-transform:="" white-space:="" word-spacing:="" -webkit-text-stroke-width:="" background-color:=""section class="" style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important white-space: normal "p style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-size: 15px line-height: 22.5px letter-spacing: 1px font-family: 宋体, SimSun "生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-size: 15px line-height: 22.5px "例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px background: white none repeat scroll 0% 0% "准确无误地识别出特定形状的物体/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "?/span/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/1d1bb32e-3372-45b8-b9f6-aecdb9c4480d.jpg" title="2.jpg" alt="2.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "苍蝇特殊的“复眼”结构(图片来源于网络)/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: justify "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "而在最近的一项研究中,昆士兰科技大学的研究团队/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "并取得了重要研究成果/strong。目前,该项研究论文已被/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Journal of Materials Chemistry/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "录用,论文题目为/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究中涉及的strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "大量仿生制备工作由/strong/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN /span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "的/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "完成/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",并使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "场发射扫描电子显微镜表征细胞间相互作用/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: center "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "img src="https://img1.17img.cn/17img/images/201903/uepic/a35e931d-e573-43b1-b2e3-19aec186d880.jpg" title="3.jpg" alt="3.jpg"//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "研究论文已被/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Journal of Materials Chemistry/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% ")/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "录用/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在生物医学上的应用span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px color: black "产生了浓厚兴趣。昆士兰科技大学/span/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "Prasad KDV Yarlagadda/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "首次使用电子束曝光技术(/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")进行蝉翼结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "加工出/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "类似的纳米锥阵列结构,/strong经研究发现,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "同样具有杀菌和生物相容性/strong。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black font-family: 宋体, SimSun "br style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "//span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/7a2f8f38-2397-4cfd-9bc6-e54722e8408a.jpg" title="4.jpg" alt="4.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-family: 宋体, SimSun letter-spacing: 1px margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "昆士兰科技大学的研究团队strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "巧妙地利用电子束在光刻胶中的散射/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "通过控制电子束能量/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "制作出椎体的“模子”/strong,然后strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "利用沉积生长出需要的椎体/strong,最后腐蚀掉所有光刻胶,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "得到了完美的纳米锥阵列/strong。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d17d1ca2-607e-4fd8-a567-b4576f6cf055.jpg" title="5.jpg" alt="5.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "仿生纳米锥阵列的制作过程示意图/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "进一步实验发现,其同样具有杀菌性和生物相容性/strong。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 br//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/77a6fae3-0d6c-41a1-889b-fb64fb4de48f.jpg" title="6.jpg" alt="6.jpg" style="width: 600px height: 192px " width="600" vspace="0" height="192" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "通过/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "EBL/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "技术制备的仿蝉翼结构的/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "纳米锥陈列/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px font-family: 宋体, SimSun "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-family: 微软雅黑, sans-serif color: rgb(0, 122, 170) background: white none repeat scroll 0% 0% "/span/em/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d4e4f267-227c-4ac9-bef0-70e9490d9095.jpg" title="7.jpg" alt="7.jpg" style="width: 600px height: 165px " width="600" vspace="0" height="165" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "图:/span/em/span/strongspan style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "E/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在制备出的仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着铜绿假单胞菌细胞;/span/em/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "F/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")对照/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱和仿生纳米/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱上附着的人成骨细胞的活性;(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "G/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着扩散良好的成骨细胞;/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术/span/span/strongspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "(光刻胶)/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "避免了传统方法中对模板加工和使用的复杂过程/strong,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "高分辨、高度灵活性、高灵敏度/strong的特点也受到研究人员关注,且/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。/span/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "在上述工作中,昆士兰科技大学研究团队使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "高分辨场发射扫描电子显微镜搭配/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "自主研发的电子束曝光strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-size: 15px letter-spacing: 1px text-indent: 28px white-space: normal "span style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "(/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "EBL/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black ")/span/strong技术/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "出色完成了相关工作。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "不久前,昆士兰科技大学新采购了一台/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "最新的/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "S8000X Xe Plasma FIB-SEM/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",这是一款功能强大的氙等离子源/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "FIB/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",配置了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/05bb9e46-ded2-4c0d-87c8-d28cc7511e52.jpg" title="8.jpg" alt="8.jpg" style="width: 450px height: 314px " width="450" vspace="0" height="314" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "昆士兰科技大学最新采购的/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "TESCAN S8000X Xe/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "等离子源/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "FIB-SE/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px line-height: 22.5px letter-spacing: 0.5px font-weight: normal background: white none repeat scroll 0% 0% "M/span/em/strong/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 32px line-height: 1.75em "span style="font-size: 14px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(136, 136, 136) font-family: 宋体, SimSun "注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。/span/p/section/div
  • 北大电镜室:原位电子显微学法研究锂电池离子迁移
    对于锂离子电池,锂离子在电极材料中迁移的动力学过程决定了电池的宏观性能。比如,离子迁移的快慢决定了充电放电的速率,离子迁移的数量对应了电池的容量,离子迁移引起的结构恶化是电池寿命变短的根本原因。因此研究锂离子在电极材料中的迁移过程是我们了解电池工作原理、失效原理等的关键。透射电子显微镜是研究材料结构的利器,结合原位局域场探测的手段,则能在原子尺度下实时监控外场下的结构演化。这种表征手段很适合于研究锂电池中电化学势驱动的离子迁移。北大电镜室俞大鹏院士团队的高鹏研究员在过去几年在一直从事原位电镜局域场探测固态离子迁移的研究。他们与合作者曾成功地观察到离子导体中氧空位的迁移(JACS 132, 4197,2010),阻变存取器件中的Ag、Ni、Cu、Pt等金属离子的迁移行为(Nat.Commun. 3, 732 ,2012) Nat.Commun. 5, 4232,2014))等。  最近,高鹏研究员课题组研究了Li和Na离子在二维材料中的迁移行为,取得了系列进展, 包括Li离子在SnS2中的迁移(Nano Lett 16, 5582,2016,作者:Peng Gao*, Liping Wang, Yu-Yang Zhang*, Yuan Huang, Lei Liao, Peter Sutter, Kaihui Liu, Dapeng Yu, En-Ge Wang),Na离子在SnS2中的迁移(Nano Energy 32, 302,2017),Na离子在MoS2中的迁移(ACS Nano 9, 11296,2015)。这些具有van der Waals相互作用的二维材料,不仅仅展现出了优异电学、力学、光学性能,也是重要的能源存储材料。作为电池电极材料,van der Waals相互作用系统的最主要特征就是层间相互作用很弱,碱金属离子能够比较容易地在其中发生迁移。他们的研究发现,在二维材料中离子插入和拔出的反应路径是不对称的,这种不对称的反应路径对应着充放电过程中不对称电压平台。该研究揭示了这些层状锂电池电极材料中低能量效率的一个根源。高鹏研究员为这些论文第一作者和通讯作者。  另外,他们与东南大学合作研究了Na离子在尖晶石NiCo2O4纳米结构的迁移行为(Adv. Fun. Mater., DOI: 10.1002/adfm.201606163,2017),也发现了类似的非对称反应路径。高鹏研究员为论文共同通讯作者。  原子尺度上实时跟踪锂电池电极材料SnS2中的离子迁移过程电子束诱导的spinel -rocksalt的核壳结构。Rocksalt 核的直径约3 nm,相界宽度约1~2nm。  此外,他们和日本东京大学的合作者用电子束激发的方法,发现LiMn2O4中的Li和Mn离子都会发生迁移,发生从尖晶石到岩盐的结构相变(Chem. Mater. 29,1006,2017)。一般认为,这种结构相变会导致LiMn2O4电池的容量损失和电压降低。他们利用球差矫正透射电子显微镜,跟踪了Li和Mn 在氧四面体和氧八面体之间的迁移过程,揭示了离子迁移过程中的中间相、迁移路径、相界的原子结构、以及阳离子迁移伴随着的氧原子位置的自我调整,据此提出了一些可能的提高电极材料稳定性和电池寿命的方法。高鹏研究员为论文第一作者和共同通讯作者。  由俞大鹏院士领导的北京大学“电子光学与电子显微镜实验室”-校级大型公共仪器平台在2015年底増置了两台国际上迄今最先进的球差矫正透射电镜: Nion公司的配置单色仪的U-HERMES200(能量分辨率8 meV)和FEI公司的双球差矫正的Titan Cubed Themis G2 300 (空间分辨率60 pm)。与此同时,俞大鹏院士也积极在国际上积极招募青年才俊,重点发展电子显微学新技术在材料科学方面的应用,进一步提高大型高端仪器的管理水平、提升电镜平台服务效率和质量。目前,FEI双球差矫正电镜正在调试当中。  该研究工作得到了国家自然科学基金委、科技部、量子物质科学协同创新中心、千人计划和电子显微镜实验室等的大力支持。  论文链接:  http://pubs.acs.org/doi/abs/10.1021/acs.nanolett.6b02136  http://pubs.acs.org/doi/full/10.1021/acsnano.5b04950  http://pubs.acs.org/doi/abs/10.1021/acs.chemmater.6b03659  http://www.sciencedirect.com/science/article/pii/S2211285516306176
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • 纳克微束中标高通量电子显微断层成像系统项目
    近期,多模态跨尺度生物医学成像设施--高通量电子显微断层成像系统项目顺利完成招标工作,纳克微束(北京)有限公司成为高通量电子显微断层成像系统UT3D的提供商。多模态跨尺度生物医学成像设施是《国家重大科技基础设施建设“十三五”规划》确定的10个优先建设项目之一,由北京大学和中科院生物物理所承接建设任务,未来将成为国家级的生物医学成像科学中心。此次合作的达成,是行业客户对纳克微束卓越技术水平的认可,也意味着微束将承担项目中心建设的重要使命。   多模态跨尺度生物医学成像设施项目,旨在快速提升我国生命科学基础研究和临床医学等领域的研究水平,为实现我国生物医学研究整体水平,特别是原始创新能力的跨越式发展以及为高端生物医学影像装备的“中国创造”提供战略支撑和保障。在连接生物医学介观到微观尺度的这一关键节点,相关的多模态跨尺度串联技术和产品级的解决方案长期处于研发摸索阶段。因此,生物物理所希望通过合作,找到志同道合的订制成像方案服务方。   由于国内扫描电子显微镜行业起步较晚,国外企业几乎主导国内市场,为响应高端生物医学影像装备的“中国创造”的号召,纳克微束做出部署、展开攻关,以本次订制方案服务为契机,迎难而上,踔厉奋发,在国际上先人一步提出解决方案。高效解决生物样品从介观到微观的成像难点和痛点,改善微观尺度高效率切割和最终电子断层成像效率低的问题,对于扫描电子显微镜技术的发展具有里程碑的意义!   纳克微束秉承钢研的技术创新基因,积极探索新方向,守正创新,在钢研集团70周年之际,敢于“亮剑”,力战国内外厂商,成为生物医学成像科学中心的国产厂家,以达成高通量电子显微断层成像系统项目合作这一成绩为集团庆祝,吹响了解决生物医学介观到微观尺度问题的时代号角,在扫描电子显微镜行业崭露头角。   作为一家新创立公司,纳克微束成为高通量电子显微断层成像系统项目服务商,为高端生物医学影像装备“中国创造”吹响了进征的号角,秉持守正创新的精神,攻坚克难,为扫描电子显微镜领域的发展注入新动力,助力微观世界的探索与发现。此次合作只是一个起点,未来将持续投入综合显微成像的研发,开拓创新,推动技术升级,助力国产电镜行业实现崭新发展,致力成为中国电镜技术引领者。
  • X射线光电子能谱(XPS)的原理及应用
    01 原理XPS是利用 X 射线辐射样品,使得样品的原子或分子的内层电子或者价电子受到激发而成为光电子,通过测量光电子的信号来表征样品表面的化学组成、元素的结合能以及价态。X 射线光电子能谱技术作为一种高灵敏超微量的表面分析技术,对所有元素的灵敏度具有相同的数量级,能够观测化学位移,能够对固体样品的元素成分进行定性、定量或半定量及价态分析,广泛地应用于元素分析、多相研究、化合物结构分析、元素价态分析。此外在对氧化、腐蚀、催化等微观机理研究,污染化学、尘埃粒子研究,界面及过渡层研究等方面均有所应用。02 应用1 XPS在木质材料中的应用XPS 技术成为木质材料分析、应用领域的重要手段。XPS 对木材领域的分析不仅可以获得材料本身的元素组成和物质结构,而且对木材的修饰、应用等方面的研究有重要意义。运用 XPS的表层与深层分析,在木材加工、合成、防护等领域都有着重要作用,在测得材料成分的含量与性质后,也可以得知涂饰性能、风化特性、硬度、抗弯度等基本性质,再对木材分类以进行定向加工,这将极大提高木材的利用效率,扩大应用领域。2 XPS在能源电池中的应用麦考瑞大学黄淑娟和苏州大学马万里等人报道了在钙钛矿表面沉积同源溴化物盐以实现表面和本体钝化以制造具有高开路电压的太阳能电池的策略。与先前工作给出的结论不同,即FABr等同源溴化物仅与 PbI2反应在原始钙钛矿之上形成大带隙钙钛矿层,该工作发现溴化物也穿透大部分钙钛矿薄膜并使钙钛矿中的钙钛矿钝化。通过吸光度和光致发光 (PL) 观察到的小带隙扩大;在飞行时间二次离子质谱 (TOF-SIMS) 和深度分辨 X 射线光电子能谱 (XPS) 中发现溴化物元素比例的增加。各种表征证实了钙钛矿器件中非辐射复合的明显抑制。使用同种溴化物钝化的非封装器件在环境储存2500 小时后仍保持其初始效率的97%,在85°C下进行520小时热稳定性测试后仍保持其初始效率的59%。该工作提供了一种简单而通用的方法来降低单结钙钛矿太阳能电池的电压损失,还将为开发其他高性能光电器件提供启示,包括基于钙钛矿的串联电池和发光二极管 (LED)。3 XPS的表面改性物质表面的化学组成改变和晶体结构变形都会影响材料性能,如黏附强度、防护性能、生物适应性、耐腐蚀性能、润滑能力、光学性质和润湿性等。一种材料可能包含几种优良性能。XPS 分析技术广泛应用于材料的表面改性,主要有以下几点原因:(1) XPS对表面测量灵敏度高,用其进行表面改性是一种有效方法;(2) 由于 XPS分析技术可以获得相应的化学价态信息,因此通常用来检测改性时的表面化学变化;(3) 由于 XPS 只能检测样品表面 1~10 nm 的薄层,故 XPS 可以测量改性表层的化学组成分布情况。4 XPS在生物医学中的应用XPS 逐渐被应用在生物医学研究以及生物大分子的组成、状态和结构等方面。由于生物试样在制备过程中有一定难度,因此 XPS在医学上的应用仍处于探索阶段。03 来源文献[1]杨文超,刘殿方,高欣,吴景武,冯均利,宋浅浅,湛永钟.X射线光电子能谱应用综述[J].中国口岸科学技术,2022,4(02):30-37.[2]Homologous Bromides Treatment for Improving the Open-circuit Voltage of Perovskite Solar Cells[J]. Advanced Materials, 2021.
  • 1780万!哈尔滨工程大学X射线/紫外光电子能谱和聚焦离子束-电子束曝光系统(FIB-EBL)采购项目
    一、项目基本情况1.项目编号:ZG-ZWG-2023168/2758-234ZGZB23168项目名称:哈尔滨工程大学X射线/紫外光电子能谱采购项目预算金额:900.000000 万元(人民币)最高限价(如有):900.000000 万元(人民币)采购需求:X射线/紫外光电子能谱1套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。2.项目编号:ZG-ZWG-2023066/2758-234ZGZB23066项目名称:哈尔滨工程大学聚焦离子束-电子束曝光系统(FIB-EBL)采购项目预算金额:880.000000 万元(人民币)最高限价(如有):880.000000 万元(人民币)采购需求:聚焦离子束-电子束曝光系统(FIB-EBL)一套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。二、获取招标文件时间:2023年11月06日 至 2023年11月13日,每天上午8:30至12:00,下午12:00至16:30。(北京时间,法定节假日除外)地点:黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号);方式:拟参加本项目的潜在投标人,请于2023年11月06日至2023年11月13日,每天上午08时30分至下午16时30分到黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号)获取采购文件,采购文件不予邮寄;售价:¥500.0 元,本公告包含的招标文件售价总和三、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:哈尔滨工程大学     地址:哈尔滨市南岗区南通大街145号        联系方式:王老师 0451-82519862      2.采购代理机构信息名 称:黑龙江中冠项目管理有限公司            地 址:中国黑龙江省哈尔滨市道里区友谊西路2982号            联系方式:刘女士 0451-82663366转8008/8006            3.项目联系方式项目联系人:刘女士电 话:  0451-82663366转8008/8006
  • 飞纳电镜|锂电池全自动杂质分析方案助力锂电子电池工艺优化
    2021 年 7 月 14 日 - 16 日,以“锂电安全”为主题的第四届全国锂离子电池安全性技术研讨会在江苏省苏州市张家港隆重举行。 本次会议由清华大学核研院锂离子电池实验室和清华大学-张家港氢能与先进锂电技术联合研究中心共同发起组织并主办,由清华大学核研院何向明老师当任会议主席,清华大学王莉老师、刘凯老师和冯旭宁老师当任会议副主席。飞纳电镜的应用技术专家与来自全国新能源、汽车、船舶、电子等行业代表展开深入交流,探讨电子显微分析技术在分析检测领域的应用。 无论是正极材料,还是负极材料,一旦在原材料或者生产过程中引入杂质元素,这些杂质不仅会降低其中活性材料的比例,还会催化电极材料与电解液的副反应,甚至穿刺隔膜,严重影响电池的电化学性能,造成安全隐患。因此,严格把控锂电池的清洁度以及对杂质元素进行有效分析,至关重要。就此飞纳电镜针对锂电池行业的这一痛点,会上为大家分享了飞纳全自动锂电池杂质分析方案。 会议采取演讲加讨论的会议形式。来自清华大学、中科院青岛能源所、上海交通大学、中国科技大学、武汉理工大学、华东理工大学、中电院安全技术研究中心、比亚迪、CATL、ATL、莱茵技术有限公司、华为技术有限公司的 330 余位锂电领域的专家、学者和企业研发人员参加了本次会议。会议开幕式由清华大学锂离子电池实验室主任何向明老师主持,彰显了清华大学在锂离子电池安全性研究方面的突出地位和鲜明特色。 清华大学核研院何向明老师 清华大学王莉老师 会议围绕锂离子电池安全性问题根本起因及安全技术研发出发,从电池热失控分析、关键电池材料改进和研发进展、电池安全性设计与制造,安全测试评估以及电池安全使用等多个视角,30 位专家学者分享了他们的最新研究成果与科研理念。在为期一天半的会议中,会场充满了浓郁的学术氛围,参会代表踊跃提问,专家学者细致耐心解答,大家收获到的不只是充分的交流,还有珍贵的友谊和扎实的合作。本次研讨会的成果将推进锂电产业与技术的合作与发展,进一步提升我国安全性锂离子电池的研发与生产水平。
  • 电子探针丨带您走进光纤的微观世界-低损耗光纤
    导语信息关乎一切,为满足信息化数字化支撑新质生产力的创新发展目标和要求,国家层面在算力枢纽、大数据和云计算集群、“东数西算”等工程作了资源调配和长远的规划。用户层面对高质量视频和数据传输需求、对低时延的更苛刻要求、5G技术使用的接入,以及千兆光纤入户规划,对超高速互联网接入的追求似乎永无止境。低损耗光纤的研究正是为了满足高质量的数据接入需求。岛津电子探针通过搭配52.5°高取出角和全聚焦晶体波谱仪,具有高分辨率和高灵敏度的特征,可以为光通信企业及研究院的产品生产、研发、技术突破等方面,如未来的多芯或空芯的研究提供坚实的数据支持。光纤损耗小科普光纤损耗是指每单位长度上的信号衰减,单位为dB/km。光纤损耗的高低直接影响了传输距离或中继站间隔距离的远近,对光纤通信有着重要的现实意义。光纤之父高锟博士提出:光纤的高损耗并不是其本身固有的,而是由材料中所含的杂质引起的。之后,科研人员和光通信企业开始致力于光纤损耗降低的课题研究。根据光纤损耗,把光纤大致分为普通光纤、低损耗光纤、超低损耗光纤三类,其中,&bull 普通光纤衰减为0.20dB/km左右,&bull 低损耗光纤衰减小于0.185dB/km、&bull 超低损耗光纤的衰减小于0.170dB/km。长久以来,国外厂商在低损耗和超低损耗光纤的研究中保持领先地位。现在国内新建主干网络以及骨干网的升级改造中已有大规模低损耗光纤的部署。岛津电子探针的特点岛津电子探针EPMA通过配置统一四英寸罗兰圆半径的、兼具灵敏度和分辨率的全聚焦分光晶体,以及52.5°的特征X射线高取出角,使之对于微量元素的测试更具优势,不会错过微量元素的轻微变化。【注:从微米级别空间尺度产生的元素特征X射线经过全聚焦晶体衍射后还会汇聚到微米级别范围,不会有检测信号的损失,也无需在检测器前开更大尺寸的狭缝,从而具有更高的特征X射线检测灵敏度和分辨率。】【注:高取出角可获得特征X射线试样在基体内部更短的穿梭路径,减少基体效应的影响,即更少的基体吸收更少的二次荧光等,从而具有更高的特征X射线检测灵敏度。】在远距离传输中,由于光纤材料的吸收(材料本征的紫外和红外吸收以及金属阳离子和OH-等杂质离子吸收)和散射、光纤连接以及耦合等方面造成的衰减问题难以避免,低损耗光纤的推出则为解决这一难题提供了新的思路。在骨干网改造、超高速宽带网络的建设过程中,低损耗(Low-loss optical fiber, LL)、超低损耗(Ultra-low-loss optical fiber, ULL)光纤已有大规模部署。我们使用岛津电子探针EPMA-1720测试了两种低损耗光纤。&bull 第一种光纤为单模光纤,纤芯直径10μm,掺杂Ge+F。低损耗光纤元素分布情况测试结果如下:&bull 第二种光纤纤芯为比较高纯度的SiO2,在包层区掺氟降低折射率,未掺杂常规元素Ge。定量元素线、面分布特征分析见以下系列图。超低损耗光纤元素分布情况测试结果如下:结语信息通信是重要的国家级基础设施,通信光纤建设也是重要的民生工程,对高质量数据通信要求都在不断提高。目前骨干超高速400G、800G乃至1T的工程规划都给光通信企业带来机遇和挑战,研发和生产亦是永无止境。岛津电子探针有着高灵敏度和高元素特征X射线分辨率的特性,能够为光通信企业及研究院的产品开发、技术突破等方面提供可靠的检测和分析手段。本文内容非商业广告,仅供专业人士参考。
  • 电镜学堂丨扫描电子显微镜的结构(一) - 电子光学系统
    这里是TESCAN电镜学堂第四期,将继续为大家连载《扫描电子显微镜及微区分析技术》(本书简介请至文末查看),帮助广大电镜工作者深入了解电镜相关技术的原理、结构以及最新发展状况,将电镜在材料研究中发挥出更加优秀的性能!扫描电子显微镜主要由电子光学系统、信号收集处理系统、真空系统、图像处理显示和记录系统、样品室样品台、电源系统和计算机控制系统等组成。第一节 电子光学系统电子光学系统主要是给扫描电镜提供一定能量可控的并且有足够强度的,束斑大小可调节的,扫描范围可根据需要选择的,形状完美对称的,并且稳定的电子束。电子光学系统主要由电子枪、电磁聚光镜、光阑、扫描系统、消像散器、物镜和各类对中线圈组成,如图3-1。图3-1 SEM的电子光学系统§1. 电子枪(Electron Gun)电子枪是产生具有确定能量电子束的部件,是由阴极(灯丝)、栅极和阳极组成。灯丝主要有钨灯丝、LaB6和场发射三类。① 钨灯丝电子枪:如图3-2,灯丝是钨丝,在加热到2100K左右,电子能克服大约平均4.5eV的逸出功而逃离,钨灯丝是利用热效应来发射电子。不过钨灯丝发射电子效率比较低,要达到实用的电流密度,需要较大的钨丝发射面积,一般钨丝电子源直径为几十微米。这样大的电子源直径很难进一步提高分辨率。还有,钨灯丝亮度差、电流密度低、单色性也不好,所以钨灯丝目前最高只能达到3nm的分辨率,实际使用的放大倍数均在十万倍以下。不过由于钨灯丝价格便宜,所以钨灯丝电镜得到了广泛的应用。图3-2 钨灯丝电子枪② LaB6电子枪:要提高扫描电镜的分辨率,就要提高电子枪的亮度。而一些金属氧化物或者硼化物在加热到高温之后(1500~2000K),也能克服平均逸出功2.4eV而发射热电子,比如LaB6,曲率半径为几微米。LaB6灯丝亮度能比钨灯丝提高数倍。因此LaB6灯丝电镜有比钨灯丝更好的分辨率。除了LaB6外,类似的还有CeB6等材料。不过目前在扫描电镜领域,LaB6灯丝价格并不便宜,性能相对钨灯丝提升有限,另外就是场发射的流行,使得LaB6灯丝的使用并不多见。图3-3 LaB6电子枪② 场发射电子枪:1972年,拥有更高亮度、更小电子束直径的场发射扫描电镜(FE-SEM)实现商品化,将扫描电镜的分辨率推向了新的高度。场发射电子枪的发射体是钨单晶,并有一个极细的尖端,其曲率半径为几十纳米到100nm左右,在钨单晶的尖端加上强电场,利用量子隧道效应就能使其发射电子。图3-4为场发射电子枪的结构示意图。钨单晶为负电位,第一阳极也称取出电极,比阴极正几千伏,以吸引电子,第二阳极为零电位,以加速电子并形成10nm左右的电子源直径。图3-5为场发射电子枪的钨单晶灯丝结构,只有钨灯丝支撑的非常小的尖端为单晶。图3-4 场发射电子枪结构示意图图3-5 场发射电子枪W单晶尖端场发射电子枪又分为冷场发射和热场发射。热场发射的钨阴极需要加热到1800K左右,尖端发射面为100或111取向,单晶表面有一层氧化锆(如图3-6),以降低电子发射的功函数(约为2.7eV)。图3-6 热场发射电子枪钨单晶尖端冷场发射不需加热,室温下就能进行工作,其钨单晶为310取向,逸出功最小,利用量子隧道效应发射电子。冷场电子束直径,发射电流密度、能量扩展(单色性)都优于热场发射,所以冷场电镜在分辨率上比热场更有优势。不过冷场电镜的束流较小(一般为2nA),稳定性较差,每个几小时需要加热(Flash)一次,对需要长时间工作和大束流分析有不良影响。不过目前Hitachi最新的冷场SEM,束流已经能达到20nA,稳定性也比以往提高了很多,能够满足一些短时间EBSD采集的需要,不过对于WDS、阴极荧光等分析还不够。热场发射虽然电子束直径、能量扩展不及冷场,但是随着技术的发展,其分辨率也越来越接近冷场的水平,有的甚至还超越了冷场。特别是热场电镜束流大,稳定性好,有着非常广阔的应用范围。从各个电镜厂商对待冷场和热场的态度来看,欧美系厂商钟情于热场电镜,而日系厂商则倾向于冷场电镜。不过目前日系中的日本电子也越来越多的推出热场电镜,日立也逐步推出热场电镜,不过其性能与自家的冷场电镜相比还有较大差距。① 各种类型电子源对比:各类电子源的对比如表3-1。表3-1 不同电子源的主要参数SEM的分辨率与入射到试样上的电子束直径密切相关,电子束直径越小,分辨率越高。最小的电子束直径D的表达式为:其中D为交叉点电子束在理想情况下的最后的束斑直径,CS为球差系数、CC为色差系数、ΔV/V0为能量扩展、I为电子束流、B为电子源亮度,a为电子束张角。由此可以看出,不同类型的电子源,其亮度、单色性、原始发射直径具有较大的差异,最终导致聚焦后的电子束斑有明显的不同,从而使得不同电子源的电镜的分辨率也有如此大的差异。通常扫描电镜也根据其电子源的类型,分为钨灯丝SEM和冷场发射SEM、热场发射SEM。§2. 电磁透镜电磁透镜主要是对电子束起汇聚作用,类似光学中的凸透镜。电磁透镜主要有静电透镜和磁透镜两种。① 静电透镜一些特定形状的并成旋转对称的等电位曲面簇可以使得电子束在库仑力的作用下进行聚焦,形成这些等电位曲面簇的装置就是静电透镜,如图3-7。图3-7 静电透镜静电透镜在扫描电镜中使用相对较少。不过电子枪外的栅极和阳极之间,自然就形成了一个静电透镜。另外一些特殊型号的电镜在某些地方采用了所谓的静电透镜设计。② 磁透镜电子束在旋转对称的磁场中会受到洛伦兹力的作用,进而产生聚焦作用。能使产生这种旋转对称非均匀磁场并使得电子束聚焦成像的线圈装置,就是磁透镜,如图3-8。图3-8 磁透镜磁透镜主要有两部分组成,如图3-9。第一部分是软磁材料(如纯铁)制成的中心穿孔的柱体对称芯子,被称为极靴。第二部分是环形极靴的铜线圈,当电流通过线圈的时,极靴被磁化,并在心腔内建立磁场,对电子束产生聚焦作用。图3-9 磁透镜结构磁透镜主要包括聚光镜和物镜,靠近电子枪的透镜是聚光镜,靠近试样的是物镜,如图3-10。一般聚光镜是强励磁透镜,而物镜是弱励磁透镜。图3-10 聚光镜和物镜聚光镜的主要功能是控制电子束直径和束流大小。聚光镜电流改变时,聚光镜对电子束的聚焦能力不一样,从而造成电子束发散角不同,电子束电流密度也随之不同。然后配合光阑,可以改变电子束直径和束流的大小,如图3-11。当然,有的电镜不止一级聚光镜,也有的电镜通过改变物理光阑的大小来改变束流和束斑大小。图3-11 聚光镜改变电流密度、束斑和束流物镜的主要功能是对电子束做最终聚焦,将电子束再次缩小并聚焦到凸凹不平的试样表面上。虽然电磁透镜和凸透镜非常像似,不过电子束轨迹和光学中的光线还是有较大差别的。几何光学中的光线在过凸透镜的时候是折线;而电子束在过磁透镜的时候,由于洛伦兹力的作用,其轨迹是既旋转又折射,两种运动同时进行,如图3-12。图3-12 电子束在过磁透镜时的轨迹§3. 光阑一般聚光镜和物镜之间都有光阑,其作用是挡掉大散射角的杂散电子,避免轴外电子对焦形成不良的电子束斑,使得通过的电子都满足旁轴条件,从而提高电子束的质量,使入射到试样上的电子束直径尽可能小。电镜中的光阑和很多光学器件里面的孔径光阑或者狭缝非常类似。光阑一般大小在几十微米左右,并根据不同的需要选择不同大小的光阑。有的型号的SEM是通过改变光阑的孔径来改变束流和束斑大小。一般物镜光阑都是卡在一个物理支架上,如图3-13。图3-13 物理光阑的支架在电镜的维护中光阑的状况十分重要。如果光阑合轴不佳,那将会产生巨大的像散,引入额外的像差,导致分辨率的降低。更有甚者,图像都无法完全消除像散。另外光阑偏离也会导致电子束不能通过光阑或者部分通过光阑,从而使得电子束完全没有信号,或者信号大幅度降低,有时候通过的束斑也不能保持对称的圆形,如图3-14,从而使得电镜图像质量迅速下降。还有,物镜光阑使用时间长了还会吸附其它物质从而受到污染,光阑孔不再完美对称,从而也会引起额外的像差,信号的衰弱和图像质量的降低。图3-14 光阑偏离后遮挡电子束因此,光阑的清洁和良好的合轴,对扫描电镜的图像质量来说至关重要。光阑的对中调节目前有手动旋拧和电动马达调节两种方式。TESCAN在电镜的设计上比较有前瞻性,所有型号的电镜都采用了中间镜技术,利用电磁线圈代替了传统的物镜光阑。中间镜是电磁线圈,可以受到软件的自动控制,并且连续可调,所以TESCAN的中间镜相当于是一个孔径可以连续可变的无极孔径光阑,而且能实现很多自动功能。 §4. 扫描系统① 扫描系统扫描系统是扫描电镜中必不可少的部件,作用是使电子束偏转,使其在试样表面进行有规律的扫描,如图3-15。图3-15 扫描线圈改变电子束方向扫描系统由扫描发生器和扫描线圈组成。扫描发生器对扫描线圈发出周期性的脉冲信号,如图3-16,扫描线圈通过产生相应的电场力使得电子束进行偏转。通过对X方向和Y方向的脉冲周期不同,从而控制电子束在样品表面进行矩形的扫描运动。此外,扫描电镜的像素分辨率可由X、Y方向的周期比例进行控制;扫描的速度由脉冲频率控制;扫描范围大小由脉冲振幅进行控制;另外改变X、Y方向脉冲周期比例以及脉冲的相位关系,还可以控制电子束的扫描方向,即进行图像的旋转。图3-16 扫描发生器的脉冲信号另外,从扫描发生器对扫描线圈的脉冲信号控制就可以看出,电子束在样品表面并不是完全连续的扫描,而是像素化的逐点扫描。即在一个点驻留一个处理时间后,跳到下一个像素点。值得注意的是扫描电镜的放大率由扫描系统决定,扫描范围越大,相应的放大率越小;反之,扫描的区域越小,放大率越大。显示器观察到的图像和电子束扫描的区域相对应,SEM的放大倍数也是由电子束在试样上的扫描范围确定。① 放大率的问题有关放大率,目前不同的电镜上有不同的形式,即所谓的照片放大率和屏幕放大率,不同的厂家或行业有各自使用上的习惯,故而所用的放大率没有明确说明而显得不一样。这只是放大率的选择定义不一样而已,并不存在放大率不同的问题。首先是照片放大率。照片放大率使用较早,在数字化还不发达的年代,扫描电镜照片均是用照片冲洗出来。业内普遍用宝丽来的5英寸照片进行冲洗。所用冲洗出来的照片的实际长度除以照片对应样品区域的实际大小之间的比值,即为照片放大率。不过随着数字化的到来,扫描电镜用冲洗出来的方式进行观察已经被淘汰,扫描电镜几乎完全是采用显示器直接观察。所以此时用显示器上的长度除以样品对应区域的实际大小,即为屏幕放大率。同样的扫描区域,照片放大率和屏幕放大率会显示为不同的数值。不过不管采用何种放大倍数,在通常的图片浏览方式下,其放大率通常都不准确。对于照片放大率来说,只有将电镜图像冲印成5英寸宝丽来照片时观察,其实际放大倍数才和照片放大率一致,否则其它情况都会存在偏差;对屏幕放大率来说,只有将电镜照片在控制电镜的电脑上,按照1:1的比例进行观察时,实际放大倍数才和屏幕放大率一致。否则照片在电脑上观察时放大、缩小、或者自适应屏幕,或者照片被打印成文档、或者被投影出来、或者不同的显示器之间会有不同的像素点距,都会造成实际放大率和照片上标出的放大率不同。不过不管如何偏差,照片上的标尺始终一致。所以在针对放大率倍数发生争执时,首先要弄清楚照片上标的放大倍数为何种类型,尽量回避放大率的定义,改用视野宽度或者标尺来进行比对。 §5. 物镜扫描电镜的物镜也是一组电磁透镜,励磁相对较弱,主要用于电子束的最后对焦,其焦距范围可以从一两毫米到几厘米范围内做连续微小的变化。① 物镜的类型:物镜技术是相对来说比较复杂,不同型号的电镜可能其它部件设计相似,但是在物镜技术上可能有较大的差异。目前场发射的物镜通常认为有三种物镜模式,即所谓的全浸没式、半磁浸没式和无磁场式,如图3-17。或者各厂家有自己特定的名称,但是业界没有统一的说法,不过其本质是一样的。图3-17 全浸没式(左)、无磁场式(中)、半磁浸没式(右)透镜A.全浸没式:也被称为In-LensOBJ Lens,其特点是整个试样浸没在物镜极靴以及磁场中,顾名思义叫全浸没模式。但是其试样必须做的非常小,插入到镜筒里面,和TEM比较类似。这种电镜在市场里面非常少,没有引起人们的足够重视。B.无磁场式:也叫Out-lensOBJ Lens,这也是电镜最早发展起来的,大部分钨灯丝电镜都是这种类型的物镜。此类电镜的特点是物镜磁场开口在极靴里面,所以物镜产生的磁场基本在极靴里面,样品附近没有磁场。但是绝对不漏磁是不可能的,只要极靴留有让电子束穿下来的空隙,就必然会有少量磁场的泄露。这对任何一家电镜厂商来说都是一样,大家只能减少漏磁,而不可能彻底杜绝漏磁,因为磁力线总是闭合的。采用这种物镜模式的电镜漏磁很少,做磁性样品是没有问题的。特别是TESCAN的极靴都采用了高导磁材料,进一步减少了漏磁。TESCAN的VEGA、MIRA、LYRA系列均是采用此种物镜。C. 半磁浸没式:为了进一步提高分辨率,厂商对物镜做了一些改进。比较典型的就是半浸没式物镜,也叫semi-in-lens OBJ Lens。因为全浸没式物镜极少,基本别人忽视,所以有时候也把半浸没式物镜称为浸没式物镜。半浸没式物镜的特点是极靴的磁场开口是在极靴外面,故意将样品浸没在磁场中,以减少物镜的球差,同时产生的电子信号会在磁场的作用下飞到极靴里面去,探测器在极靴里面进行探测。这种物镜最大的优点是提高了分辨率,但是缺点是对磁性样品的观察能力相对较弱。为了弥补无磁场物镜分辨率的不足和半浸没物镜不能做磁性样品的缺点,半磁浸没物镜的电镜一般将无磁场式物镜和半磁浸没式物镜相结合,形成了多工作模式。从而兼顾无磁场和半浸没式的优点,做特别高的分辨率时,使用浸没式物镜(如TESCAN MAIA3和GAIA3的Resolution模式),做磁性样品的时候,关闭浸没式物镜使用一般的物镜(如TESCAN的Field模式)。从另一个角度来说,在使用无磁场模式物镜时,对应的虚拟透镜位置在镜筒内,距离样品位置较远;使用半浸没式物镜时,对应的透镜位置在极靴下,距离样品很近。根据光学成像的阿贝理论也可以看出,半浸没式物镜的分辨率相对更高,如图3-18。图3-18 无磁场式(左)和半磁浸没式(右)透镜对应的位置① 物镜的像差电磁透镜在理想情况下和光学透镜类似,必须满足高斯成像公式,但是光学不可避免的存在色差和像差以及衍射效应,在电子光学中一样存在。再加上制造精度达不到理论水平,磁透镜可能存在一定的缺陷,比如磁场不严格轴对称分布等,再加上灯丝色差的存在,从而使得束斑扩大而降低分辨率。所以减少物镜像差也一直是电镜在不断发展的核心技术。A.衍射的影响:由于高能电子束的波长远小于扫描电镜分辨率,所以衍射因子对分辨率的影响较小。图3-19 球差、色差、衍射的对束斑的影响B.色差的影响:色差是指电子束中的不同电子能量并不完全相同,能量范围有一定的展宽,在经过电磁透镜后焦点也不相同,导致束斑扩大。不同的电子源色差像差很大,也造成了分辨率的巨大差异。C.像差的影响:像差相对来说比较复杂,在传统光学理论中,由于成像公式都是基于旁轴理论,所以在数学计算上做了一定的近似。不过如果更严格的考虑光学成像,就会发现在光学成像中存在五种像差。a. 球差:电子在经过透镜时,近光轴的电子和远光轴电子受到的折射程度不同,从而引起束斑的扩大。而电镜中的电子束不可能细成完美的一条线,总会有一定的截面积,故而球差总是存在。不过球差对扫描电镜的影响相对较小,对透射电镜的影响较大。b. 畸变:原来横平竖直的直线在经过透镜成像后,直线变成曲线,根据直线弯折的情况分为枕形畸变和桶形畸变,如图3-20。不过在扫描电镜中因为倍数较大,所以畸变不宜察觉,但是在最低倍率下能观察到物镜的畸变。特别是扫描电镜的视场往往有限,有的型号的电镜具有了“鱼眼模式”,虽然增加了视场但却增加了畸变。TESCAN的电镜很有特点,利用了独特的技术,既保证了大视野,又将畸变减小到了最低甚至忽略不计,如图3-21。图3-20 透镜的畸变图3-21鱼眼模式和TESCAN的视野模式c. 像散:像散是由透镜磁场非旋转对称引起的一种像差,使得本应呈圆形的电子束交叉点变成椭圆。这样一个的束斑不再是完美对称的圆形,会严重影响电镜的图像质量。以前很多地方都说极靴加工精度、极靴材料不均匀、透镜内线圈不对称或者镜头和光阑受到污染,都会产生像散。但是,像散更是光学中的一种固有像差,即使极靴加工完美,镜头、光阑没有污染,也同样会有像散。当然由于加工及污染的问题,会进一步加大像散的影响。在光学理论中,不在光轴上的物点经过透镜后,用屏去截得到的光斑一般不再是圆形。其中有三个特殊位置如图3-23,一个叫做明晰圆位置,这里的光斑依然是圆形;而另外两个特殊的位置称为子午与弧矢,这里截到的是两条正交的直线;其它任意位置截到的是一个会随位置而变化的椭圆。图3-22 电镜中的消像散图3-23 光学理论中的像散 对于电子束来说也一样,原来圆形的束斑在经过电磁透镜后,会因为像散的存在变得不再是完美的圆形,引起图像质量的降低。要消除像散需要有消像散线圈,它可以产生一个与引入像散方向相反、大小相等的磁场来抵消像散,为了能更好的抵消各个方向的像散,消散线圈一般都是两组共八级线圈,构成一个米字形,如图3-24。如果电镜的像散没有消除,那么图像质量会受到极大的影响。图3-24 八级消像散线圈d. 慧差和像场弯曲:慧差也总是存在的,只是在扫描电镜中不易被发觉,不过在聚焦离子束中对中状况不好时可以发现慧差的存在;由于扫描电镜的成像方式和TEM等需要感光器件的仪器不同,像场弯曲在扫描电镜中也很难发现。慧差和像场弯曲在扫描电镜中都可以忽略。 福利时间每期文章末尾小编都会留1个题目,大家可以在留言区回答问题,小编会在答对的朋友中选出点赞数最高的两位送出本书的印刷版。奖品公布上期获奖的这位童鞋,请后台私信小编邮寄地址,我们会在收到您的信息并核实后即刻寄出奖品。【本期问题】哪种物镜设计的扫描电镜可以观测磁性样品(特指可充磁性样品)?(快关注微信去留言区回答问题吧~)简介《扫描电子显微镜及微区分析技术》是由业内资深的技术专家李威老师(原上海交通大学扫描电镜专家,现任TESCAN技术专家)、焦汇胜博士(英国伯明翰大学材料科学博士,现任TESCAN技术专家)、李香庭教授(电子探针领域专家,兼任全国微束分析标委会委员、上海电镜学会理事)编著,并于2015年由东北师范大学出版社出版发行。本书编者都是非常资深的电镜工作者,在科研领域工作多年,李香庭教授在电子探针领域有几十年的工作经验,对扫描电子显微镜、能谱和波谱分析都有很深的造诣,本教材从实战的角度出发编写,希望能够帮助到广大电镜工作者,特别是广泛的TESCAN客户。↓ 往期课程,请关注微信“TESCAN公司”查阅以下文章:电镜学堂丨扫描电子显微镜的基本原理(一) - 电子与试样的相互作用电镜学堂丨扫描电子显微镜的基本原理(二) - 像衬度形成原理电镜学堂丨扫描电子显微镜的基本原理(三) - 荷电效应
  • 预算1000万,中科院金属所聚焦离子束电子束双束电子显微镜招标采购
    p style="text-align: justify text-indent: 2em "11月17日公告,中国科学院金属研究所聚焦离子束电子束双束电子显微镜采购项目公开招标,预算1000万元。招标项目的潜在投标人应在北京市西城区西直门外大街6号中仪大厦416获取招标文件,并于2020年12月17日09点30分前递交投标文件。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong一、项目基本情况/strong/span/pp style="text-align: justify text-indent: 2em "项目编号:20CNIC-031692-054/pp style="text-align: justify text-indent: 2em "项目名称:中国科学院金属研究所聚焦离子束电子束双束电子显微镜采购项目/pp style="text-align: justify text-indent: 2em "预算金额:1000万元(人民币)/pp style="text-align: justify text-indent: 2em "采购需求:/pp style="text-align: justify text-indent: 2em "span style="text-indent: 2em "名称:聚焦离子束电子束双束电子显微镜/span/pp style="text-align: justify text-indent: 2em "span style="text-indent: 2em "数量:1套/span/pp style="text-align: justify text-indent: 2em "简要技术需求:/pp style="text-align: justify text-indent: 2em "设备用于材料纳微米级尺度高精度加工、修改、成型和高分辨成像。结合电子束高分辨显微表征、能谱和EBSD等辅助分析,进行材料高分辨微观形貌、三维结构、晶体取向及元素含量分布信息测试。/pp style="text-align: justify text-indent: 2em "*大样品室,仓门内径 ≥370mm;/pp style="text-align: justify text-indent: 2em "*元素分析范围:Be4~Cf98;/pp style="text-align: justify text-indent: 2em "* 能量分辨率:Mn Ka保证优于127eV(计数率130,000cps)/pp style="text-align: justify text-indent: 2em "合同履行期限:合同签订后8个月/pp style="text-align: justify text-indent: 2em "本项目( 不接受 )联合体投标。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong二、获取招标文件/strong/span/pp style="text-align: justify text-indent: 2em "时间:2020年11月27日 至 2020年12月04日,每天上午9:30至11:30,下午13:30至16:30。(北京时间,法定节假日除外)/pp style="text-align: justify text-indent: 2em "地点:北京市西城区西直门外大街6号中仪大厦416/pp style="text-align: justify text-indent: 2em "方式:电话联系购买/pp style="text-align: justify text-indent: 2em "售价:¥800.0 元,本公告包含的招标文件售价总和/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong三、提交投标文件截止时间、开标时间和地点/strong/span/pp style="text-align: justify text-indent: 2em "提交投标文件截止时间:2020年12月17日 09点30分(北京时间)/pp style="text-align: justify text-indent: 2em "开标时间:2020年12月17日 09点30分(北京时间)/pp style="text-align: justify text-indent: 2em "地点:北京市西城区西直门外大街6号中仪大厦416会议室/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong四、公告期限/strong/span/pp style="text-align: justify text-indent: 2em "自本公告发布之日起5个工作日。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong五、对本次招标提出询问,请按以下方式联系。/strong/span/pp style="text-align: justify text-indent: 2em "1. 采购人信息/pp style="text-align: justify text-indent: 2em "名称:中国科学院金属研究所/pp style="text-align: justify text-indent: 2em "地址:辽宁省沈阳市沈河区文化路72号/pp style="text-align: justify text-indent: 2em "联系方式:佟老师 024-23971066/pp style="text-align: justify text-indent: 2em "2. 采购代理机构信息/pp style="text-align: justify text-indent: 2em "名称:中国仪器进出口集团有限公司/pp style="text-align: justify text-indent: 2em "地址:北京市西城区西直门外大街6号中仪大厦416/pp style="text-align: justify text-indent: 2em "联系方式:陶经理 010-88317223/pp style="text-align: justify text-indent: 2em "3. 项目联系方式/pp style="text-align: justify text-indent: 2em "项目联系人:陶宇/pp style="text-align: justify text-indent: 2em "电话:010-88317223/pp style="text-align: justify text-indent: 2em "br//pp style="text-align: justify text-indent: 2em "strong推荐信息:/strong/pp style="text-align: justify text-indent: 2em "a href="https://www.instrument.com.cn/news/20201127/566092.shtml" target="_self" style="color: rgb(0, 112, 192) text-decoration: underline "span style="color: rgb(0, 112, 192) "中科院金属所场发射扫描电镜采购项目公开招标/span/a/ppbr//p
  • 电子束加热蒸发源研制
    成果名称电子束加热蒸发源单位名称中科院物理研究所联系人郇庆联系邮箱qhuan_uci@yahoo.com成果成熟度□正在研发 □已有样机 □通过小试 □通过中试 √ 可以量产合作方式□技术转让 □技术入股 □合作开发 √ 其他成果简介: 电子束加热蒸发源是采用电子束加热的方式对材料进行热蒸发,电子束加热方式具有污染小、加热集中、效率高的特点,适用于熔点高的材料的蒸发沉积。我们的电子束加热蒸发源采用超高真空兼容设计(CF35法兰),具有水冷、水冷温度检测、手动挡板、线性进样、高压接口、束流检测等功能。该蒸发源可以对棒状导电材料直接进行加热蒸发,也可采用多种材料的坩埚,对粉末、半导体以及绝缘体材料进行热蒸发。全部设计为自主开发完成,具有加热效率高、极限温度高的特点,可以完成熔点最高的金属钨的蒸发。该技术目前已在国内外多家高校和科研机构尝试性推广(中科院物理所、清华大学、北京大学、复旦大学、中国科技大学、华中科技大学、中科院武汉物数所、IBM实验室、匹兹堡大学等),收到一致好评。其主要技术指标为: 安装法兰: CF35 超高真空兼容性: 是 可烘烤至 200℃ 腔内直径: 34mm 腔内长度: 170mm~400mm可定制 源数量: 1 冷却方式: 水冷 束流检测范围: 0.1nA~10uA 灯丝电流: 0-2.5A 高压: 0-2500V 最高功率: 250W 蒸发温度: 高于 3000℃ 蒸发方式和尺寸: 源棒材 尺寸 (直径 1~4mm. 长度 20~100mm ) 金属坩埚 (钨、钼、钽可选;0.1cc、0.15cc、0.25cc、0.35cc、0.45cc).应用前景: 主要用于分子束外延系统以及其他超高真空设备中的高温金属材料、半导体材料等的热蒸发沉积。应用范围广,每年国内市场需求在百套以上。知识产权及项目获奖情况: 发明专利:201310052836.1
  • 可睦电子(KEM-China)2015年节假日安排通知
    可睦电子(KEM-China)2015年节假日安排通知经国务院发布,2015年元旦、春节、清明节、劳动节、端午节、中秋节和国庆节放假调休日期的具体安排通知如下。一、元旦: 1月1日至3日放假调休,共3天。1月4日(星期日)上班。二、春节: 2月18日至24日放假调休,共7天。2月15日(星期日)、2月28日(星期六)上班。三、清明节: 4月5日放假,4月6日(星期一)补休。四、劳动节: 5月1日放假,与周末连休。五、端午节: 6月20日放假,6月22日(星期一)补休。六、中秋节: 9月27日放假。七、国庆节: 10月1日至7日放假调休,共7天。10月10日(星期六)上班京都电子工业株式会社(KEM)-中国分公司可睦电子(上海)商贸有限公司(KEM China)地址:上海市徐汇区中山西路2366弄1号203室邮编:200235服务热线:400-820-2557电话:021-54488867传真:021-34140599电邮:kemu-kem@163.com网址:http://www.kem-china.com
  • 发改委:加快在光刻胶、大尺寸硅片、电子封装材料等领域实现突破
    仪器信息网讯9月25日,中华人民共和国国家发展和改革委员会发布《关于扩大战略性新兴产业投资培育壮大新增长点增长极的指导意见》(发改高技〔2020〕1409号,以下简称《指导意见》)。本次《指导意见》针对扩大战略性新兴产业投资提出了三方面重点任务:一是聚焦重点产业投资领域;二是打造产业集聚发展新高地;三是增强资金保障能力。《指导意见》围绕优化投资服务环境,提出了四方面政策保障措施:一是深化“放管服”改革;二是优化项目要素配置;三是完善包容审慎监管;四是营造良好投资氛围。覆盖的重点产业投资领域涵盖信息技术产业,生物产业,高端装备制造产业,新材料产业,新能源产业,智能及新能源汽车产业,节能环保产业和数字创意产业。其中明确提出“围绕保障大飞机、微电子制造、深海采矿等重点领域产业链供应链稳定,加快在光刻胶、高纯靶材、高温合金、高性能纤维材料、高强高导耐热材料、耐腐蚀材料、大尺寸硅片、电子封装材料等领域实现突破”,“加快主轴承、IGBT、控制系统、高压直流海底电缆等核心技术部件研发”。光刻胶、大尺寸硅片和电子封装材料是半导体产业的关键技术领域,而IGBT更是涉及第三代半导体产业的重要半导体器件。此外,信息技术产业、高端装备制造产业和智能及新能源汽车产业更是有赖于半导体产业。本次《指导意见》的出台,半导体产业将再迎利好。以下为《指导意见》全文:关于扩大战略性新兴产业投资培育壮大新增长点增长极的指导意见发改高技〔2020〕1409号国务院有关部门,各省、自治区、直辖市、新疆生产建设兵团发展改革委、科技厅(委、局)、工业和信息化委(厅)、财政厅(局):为深入贯彻落实党中央、国务院关于在常态化疫情防控中扎实做好“六稳”工作,全面落实“六保”任务,扩大战略性新兴产业投资、培育壮大新的增长点增长极的决策部署,更好发挥战略性新兴产业重要引擎作用,加快构建现代化产业体系,推动经济高质量发展,现提出如下意见:一、总体要求以习近平新时代中国特色社会主义思想为指导,全面贯彻党的十九大和十九届二中、三中、四中全会精神,统筹做好疫情防控和经济社会发展工作,坚定不移贯彻新发展理念,围绕重点产业链、龙头企业、重大投资项目,加强要素保障,促进上下游、产供销、大中小企业协同,加快推动战略性新兴产业高质量发展,培育壮大经济发展新动能。——聚焦重点产业领域。着力扬优势、补短板、强弱项,加快适应、引领、创造新需求,推动重点产业领域形成规模效应。——打造集聚发展高地。充分发挥产业集群要素资源集聚、产业协同高效、产业生态完备等优势,利用好自由贸易试验区、自由贸易港等开放平台,促进形成新的区域增长极。——增强要素保障能力。按照“资金跟着项目走、要素跟着项目走”原则,引导人才、用地、用能等要素合理配置、有效集聚。——优化投资服务环境。通过优化营商环境、加大财政金融支持、创新投资模式,畅通供需对接渠道,释放市场活力和投资潜力。二、聚焦重点产业投资领域(一)加快新一代信息技术产业提质增效。加大5G建设投资,加快5G商用发展步伐,将各级政府机关、企事业单位、公共机构优先向基站建设开放,研究推动将5G基站纳入商业楼宇、居民住宅建设规范。加快基础材料、关键芯片、高端元器件、新型显示器件、关键软件等核心技术攻关,大力推动重点工程和重大项目建设,积极扩大合理有效投资。稳步推进工业互联网、人工智能、物联网、车联网、大数据、云计算、区块链等技术集成创新和融合应用。加快推进基于信息化、数字化、智能化的新型城市基础设施建设。围绕智慧广电、媒体融合、5G广播、智慧水利、智慧港口、智慧物流、智慧市政、智慧社区、智慧家政、智慧旅游、在线消费、在线教育、医疗健康等成长潜力大的新兴方向,实施中小企业数字化赋能专项行动,推动中小微企业“上云用数赋智”,培育形成一批支柱性产业。实施数字乡村发展战略,加快补全农村互联网基础设施短板,加强数字乡村产业体系建设,鼓励开发满足农民生产生活需求的信息化产品和应用,发展农村互联网新业态新模式。实施“互联网+”农产品出村进城工程,推进农业农村大数据中心和重要农产品全产业链大数据建设,加快农业全产业链的数字化转型。(责任部门:发展改革委、工业和信息化部、科技部、教育部、住房城乡建设部、交通运输部、水利部、农业农村部、商务部、卫生健康委、广电总局、国铁集团等按职责分工负责)(二)加快生物产业创新发展步伐。加快推动创新疫苗、体外诊断与检测试剂、抗体药物等产业重大工程和项目落实落地,鼓励疫苗品种及工艺升级换代。系统规划国家生物安全风险防控和治理体系建设,加大生物安全与应急领域投资,加强国家生物制品检验检定创新平台建设,支持遗传细胞与遗传育种技术研发中心、合成生物技术创新中心、生物药技术创新中心建设,促进生物技术健康发展。改革完善中药审评审批机制,促进中药新药研发和产业发展。实施生物技术惠民工程,为自主创新药品、医疗装备等产品创造市场。(责任部门:发展改革委、卫生健康委、科技部、工业和信息化部、中医药局、药监局等按职责分工负责)(三)加快高端装备制造产业补短板。重点支持工业机器人、建筑、医疗等特种机器人、高端仪器仪表、轨道交通装备、高档五轴数控机床、节能异步牵引电动机、高端医疗装备和制药装备、航空航天装备、海洋工程装备及高技术船舶等高端装备生产,实施智能制造、智能建造试点示范。研发推广城市市政基础设施运维、农业生产专用传感器、智能装备、自动化系统和管理平台,建设一批创新中心和示范基地、试点县。鼓励龙头企业建设“互联网+”协同制造示范工厂,建立高标准工业互联网平台。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、农业农村部、国铁集团等按职责分工负责)(四)加快新材料产业强弱项。围绕保障大飞机、微电子制造、深海采矿等重点领域产业链供应链稳定,加快在光刻胶、高纯靶材、高温合金、高性能纤维材料、高强高导耐热材料、耐腐蚀材料、大尺寸硅片、电子封装材料等领域实现突破。实施新材料创新发展行动计划,提升稀土、钒钛、钨钼、锂、铷铯、石墨等特色资源在开采、冶炼、深加工等环节的技术水平,加快拓展石墨烯、纳米材料等在光电子、航空装备、新能源、生物医药等领域的应用。(责任部门:发展改革委、工业和信息化部等按职责分工负责)(五)加快新能源产业跨越式发展。聚焦新能源装备制造“卡脖子”问题,加快主轴承、IGBT、控制系统、高压直流海底电缆等核心技术部件研发。加快突破风光水储互补、先进燃料电池、高效储能与海洋能发电等新能源电力技术瓶颈,建设智能电网、微电网、分布式能源、新型储能、制氢加氢设施、燃料电池系统等基础设施网络。提升先进燃煤发电、核能、非常规油气勘探开发等基础设施网络的数字化、智能化水平。大力开展综合能源服务,推动源网荷储协同互动,有条件的地区开展秸秆能源化利用。(责任部门:发展改革委、工业和信息化部、自然资源部、能源局等按职责分工负责)(六)加快智能及新能源汽车产业基础支撑能力建设。开展公共领域车辆全面电动化城市示范,提高城市公交、出租、环卫、城市物流配送等领域车辆电动化比例。加快新能源汽车充/换电站建设,提升高速公路服务区和公共停车位的快速充/换电站覆盖率。实施智能网联汽车道路测试和示范应用,加大车联网车路协同基础设施建设力度,加快智能汽车特定场景应用和产业化发展。支持建设一批自动驾驶运营大数据中心。以支撑智能汽车应用和改善出行为切入点,建设城市道路、建筑、公共设施融合感知体系,打造基于城市信息模型(CIM)、融合城市动态和静态数据于一体的“车城网”平台,推动智能汽车与智慧城市协同发展。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、交通运输部等按职责分工负责)(七)加快节能环保产业试点示范。实施城市绿色发展综合示范工程,支持有条件的地区结合城市更新和城镇老旧小区改造,开展城市生态环境改善和小区内建筑节能节水改造及相关设施改造提升,推广节水效益分享等合同节水管理典型模式,鼓励创新发展合同节水管理商业模式,推动节水服务产业发展。开展共用物流集装化体系示范,实现仓储物流标准化周转箱高效循环利用。组织开展多式联运示范工程建设。发展智慧农业,推进农业生产环境自动监测、生产过程智能管理。试点在超大城市建立基于人工智能与区块链技术的生态环境新型治理体系。探索开展环境综合治理托管、生态环境导向的开发(EOD)模式等环境治理模式创新,提升环境治理服务水平,推动环保产业持续发展。加大节能、节水环保装备产业和海水淡化产业培育力度,加快先进技术装备示范和推广应用。实施绿色消费示范,鼓励绿色出行、绿色商场、绿色饭店、绿色电商等绿色流通主体加快发展。积极推行绿色建造,加快推动智能建造与建筑工业化协同发展,大力发展钢结构建筑,提高资源利用效率,大幅降低能耗、物耗和水耗水平。(责任部门:发展改革委、科技部、工业和信息化部、自然资源部、生态环境部、住房和城乡建设部、交通运输部、农业农村部、商务部、国铁集团等按职责分工负责)(八)加快数字创意产业融合发展。鼓励数字创意产业与生产制造、文化教育、旅游体育、健康医疗与养老、智慧农业等领域融合发展,激发市场消费活力。建设一批数字创意产业集群,加强数字内容供给和技术装备研发平台,打造高水平直播和短视频基地、一流电竞中心、高沉浸式产品体验展示中心,提供VR旅游、AR营销、数字文博馆、创意设计、智慧广电、智能体育等多元化消费体验。发展高清电视、超高清电视和5G高新视频,发挥网络视听平台和产业园区融合集聚作用,贯通内容生产传播价值链和电子信息设备产业链,联动线上线下文化娱乐和综合信息消费,构建新时代大视听全产业链市场发展格局。(责任部门:发展改革委、教育部、工业和信息化部、农业农村部、文化和旅游部、广电总局、体育总局等按职责分工负责)三、打造产业集聚发展新高地(九)深入推进国家战略性新兴产业集群发展工程。构建产业集群梯次发展体系,培育和打造10个具有全球影响力的战略性新兴产业基地、100个具备国际竞争力的战略性新兴产业集群,引导和储备1000个各具特色的战略性新兴产业生态,形成分工明确、相互衔接的发展格局。适时启动新一批国家战略性新兴产业集群建设。培育若干世界级先进制造业集群。综合运用财政、土地、金融、科技、人才、知识产权等政策,协同支持产业集群建设、领军企业培育、关键技术研发和人才培养等项目。(责任部门:发展改革委、科技部、工业和信息化部、财政部、人力资源社会保障部、自然资源部、商务部、人民银行、知识产权局等按职责分工负责)(十)增强产业集群创新引领力。启动实施产业集群创新能力提升工程。发挥科技创新中心、综合性国家科学中心创新资源丰富的优势,推动特色产业集群发展壮大。依托集群内优势产学研单位联合建设一批产业创新中心、工程研究中心、产业计量测试中心、质检中心、企业技术中心、标准创新基地、技术创新中心、制造业创新中心、产业知识产权运营中心等创新平台和重点地区承接产业转移平台。推动产业链关键环节企业建设产业集群协同创新中心和产业研究院。(责任部门:发展改革委、科技部、工业和信息化部、市场监管总局、中科院、知识产权局等按职责分工负责)(十一)推进产城深度融合。启动实施产业集群产城融合示范工程。以产业集群建设推动生产、生活、生态融合发展,促进加快形成创新引领、要素富集、空间集约、宜居宜业的产业生态综合体。加快产业集群交通、物流、生态环保、水利等基础设施数字化改造。推进产业集群资源环境设施共建共享、能源资源智能利用、污染物集中处理等设施建设。探索“核心承载区管理机构+投资建设公司+专业运营公司”建设新模式,推进核心承载区加快向企业综合服务、产业链资源整合、价值再造平台转型。推动符合条件的战略性新兴产业集群通过市场化方式开展基础设施领域不动产投资信托基金(REITs)试点。(责任部门:发展改革委、住房城乡建设部、交通运输部、水利部、证监会、国铁集团等按职责分工负责)(十二)聚焦产业集群应用场景营造。启动实施产业集群应用场景建设工程。围绕5G、人工智能、车联网、大数据、区块链、工业互联网等领域,率先在具备条件的集群内试点建设一批应用场景示范工程,定期面向特定市场主体发布应用场景项目清单,择优评选若干新兴产业应用场景进行示范推广,并给予应用方一定支持。鼓励集群内企业发展面向定制化应用场景的“产品+服务”模式,创新自主知识产权产品推广应用方式和可再生能源综合应用,壮大国内产业循环。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、能源局、知识产权局等按职责分工负责)(十三)提高产业集群公共服务能力。实施产业集群公共服务能力提升工程。依托行业协会、专业机构、科研单位等建设一批专业化产业集群促进机构。推进国家标准参考数据体系建设。建设产业集群创新和公共服务综合体,强化研发设计、计量测试、标准认证、中试验证、检验检测、智能制造、产业互联网、创新转化等产业公共服务平台支撑,打造集技术转移、产业加速、孵化转化等为一体的高品质产业空间。在智能制造、绿色制造、工业互联网等领域培育一批解决方案供应商。支持有条件的集群聚焦新兴应用开展5G、数据中心、人工智能、工业互联网、车联网、物联网等新型基础设施建设。(责任部门:发展改革委、工业和信息化部、住房城乡建设部、商务部、市场监管总局、中科院等按职责分工负责)四、增强资金保障能力(十四)加强政府资金引导。统筹用好各级各类政府资金、创业投资和政府出资产业投资基金,创新政府资金支持方式,强化对战略性新兴产业重大工程项目的投资牵引作用。鼓励地方政府设立战略性新兴产业专项资金计划,按市场化方式引导带动社会资本设立产业投资基金。围绕保障重点领域产业链供应链稳定,鼓励建立中小微企业信贷风险补偿机制,加大对战略性新兴产业的支持力度。(责任部门:发展改革委、工业和信息化部、财政部等按职责分工负责)(十五)提升金融服务水平。鼓励金融机构创新开发适应战略性新兴产业特点的金融产品和服务,加大对产业链核心企业的支持力度,优化产业链上下游企业金融服务,完善内部考核和风险控制机制。鼓励银行探索建立新兴产业金融服务中心或事业部。推动政银企合作。构建保险等中长期资金投资战略性新兴产业的有效机制。制订战略性新兴产业上市公司分类指引,优化发行上市制度,加大科创板等对战略性新兴产业的支持力度。加大战略性新兴产业企业(公司)债券发行力度。支持创业投资、私募基金等投资战略性新兴产业。(责任部门:人民银行、银保监会、证监会、发展改革委等按职责分工负责)(十六)推进市场主体投资。依托国有企业主业优势,优化国有经济布局和结构,加大战略性新兴产业投资布局力度。鼓励具备条件的各类所有制企业独立或联合承担国家各类战略性新兴产业研发、创新能力和产业化等建设项目。支持各类所有制企业发挥各自优势,加强在战略性新兴产业领域合作,促进大中小企业融通发展。修订外商投资准入负面清单和鼓励外商投资产业目录,进一步放宽或取消外商投资限制,增加战略性新兴产业条目。(责任部门:发展改革委、工业和信息化部、商务部、国资委等职责分工负责)五、优化投资服务环境(十七)深化“放管服”改革。全力推动重大项目“物流通、资金通、人员通、政策通”。深化投资审批制度改革,推进战略性新兴产业投资项目承诺制审批,简化、整合项目报建手续,深化投资项目在线审批监管平台应用,加快推进全程网办。全面梳理新产业、新业态、新模式准入和行政许可流程,精简审批环节,缩短办理时限,推行“一网通办”。(责任部门:发展改革委牵头,各部门按职责分工负责)(十八)加快要素市场化配置。充分发挥市场在资源配置中的决定性作用,更好发挥政府作用。统筹做好用地、用水、用能、环保等要素配置,将土地林地、建筑用砂、能耗等指标优先保障符合高质量发展要求的重大工程和项目需求。加强工业用地市场化配置,鼓励地方盘活利用存量土地。(责任部门:发展改革委、自然资源部、生态环境部、住房城乡建设部、水利部、商务部等按职责分工负责)(十九)完善包容审慎监管。推动建立适应新业态新模式发展特点、以信用为基础的新型监管机制。规范行政执法行为,推进跨部门联合“双随机、一公开”监管和“互联网+监管”,细化量化行政处罚标准。(责任部门:发展改革委牵头,各部门按职责分工负责)(二十)营造良好投资氛围。各地区、各部门要积极做好政策咨询和宣传引导工作,以“线上线下”产业招商会、优质项目遴选赛、政银企对接会、高端论坛等形式加强交流合作,增强企业投资意愿,激发社会投资创新动力和发展活力,努力营造全社会敢投资、愿投资、善投资战略性新兴产业发展的良好氛围。(责任部门:发展改革委牵头,各部门按职责分工负责)国家发展改革委科技部工业和信息化部财政部2020年9月8日
  • 电子束对样品的热损伤及应对方式——安徽大学林中清33载经验谈(16)
    【作者按】在进行扫描电镜测试时,最让测试者感到头痛的往往是电子束对样品的热损伤。因为一旦产生热损伤,那么样品的表面形貌信息将彻底的消失。热损伤和荷电现象都会带来形貌像的形变,因此很多人(包括不少专业人士)都将样品的荷电做为形成样品热损伤的原因之一。其实这是个误解,样品荷电现象虽然对形貌像有改变,但是它不会对样品形成破坏,在改变测试条件克服荷电影响后,还是可以得到完整形貌像。但是热损伤就不是这样了,一旦发生热损伤,则该样品细节将不复存在,此后无论采取何种方式都无法获取这些信息。热损伤是如何形成的?那些样品容易形成热损伤?又有哪些因素是造成样品热损伤的关键因素?该采取何种方法来减轻或消除电子束对样品热损伤,获得相对完整的样品信息?一、电子束对样品热损伤的形成当高能电子束轰击样品时,高能电子束会与样品原子之间形成能量交换,形成所谓的“非弹性散射”。交换的能量中只有很少的一部分用于激发样品的特种信息,二次电子、光电子等,大部分能量都将转换成热能而驻留在样品中,使得样品局部温度上升,达到一定程度,就会对该处细节形成破坏,也就是热损伤。高能电子束轰击样品形成局部温度上升,该处升温究竟能达到多少呢?关于这一点目前都是以Castang升温公式为参考。依据Castang升温公式:V0(kV) 加速电压,i(μA) 探针电流,d(μm) 电子束直径,K 材料热导(Wcm-1k-1)其中加速电压、束流及束斑大小是造成样品升温的主要外部因素。而样品本身的热导率是形成温度上升的主要内部因素。一般观点都认为,容易形成荷电的样品,其漏电性(普遍被称为是导电性,但个人认为这个定义不准确)都较差。漏电性较差的部位,其导热性也较差,因此该部位更容易形成高温造成的热损伤。但是温度的升高与形成热损伤并不形成完整的一一对应关系,还与该处的耐热性有关。如果该处的导热性差,但其耐热性好,也一样很难形成热损伤,所以容易形成荷电的样品,即便其导热性较差,也不一定会比不易荷电的样品形成热损伤的概率要大。形成样品局部升温的外部因素,如加速电压、束流以及束斑直径,往往被认为是测试时调整样品热损伤影响的主要着力点。依据以上升温公式可知加速电压及束流越大,则同等条件下某区域的升温也就越高,对样品的热损伤也就越严重,但会受到束斑面积增大等因素的制约,最终结果取决正、负因素竞争后引起质变的主导者。这是对测试条件进行改变的依据所在,将在下一节再详细探讨。不同类型的电子枪,由于结构设计的差异,会使得同样加速电压下对电子束加速的最终电场偏压出现一定的差异,造成电子束的电子能量出现些微不同,而使得其在同等条件下对样品的热损伤也会出现差别。一般来说,冷场电子枪最终形成电子束的电子能量会略低一些,所以其对样品的热损伤在同等条件下也会略好一些。由于热发射电子枪慢慢的被淘汰,而且其常规测试条件和目前占据主流地位的场发射电子枪不在一个水平线上,所以不具备对比的意义。下面将只对热场电子枪和冷场电子枪结构进行探讨。从以上热场和冷场电子枪的结构简图可见,加速电压都做为基准的负偏压以开路的形态加载在阴极(灯丝)上,以保证阳极为零电位。这一点热场和冷场都是一致的。但是热场电子枪在第一阳极和阴极之间加了一个栅极保护极,屏蔽热电子,该电极上加载的负偏压是叠加在阴极之上,故栅偏压比阴极偏压更低。因此在第一阳极拔出电子时给电子的加速就应该以一个更负的偏压基础来计算,也就是整个电场的偏压值会有所增加,从而使电子束中电子的能量会略大一些。由于电场的叠加作用并不是简单的一加一,所以电子束中电子能量的差别也不能采用简单的加减法来进行计算。该差异在高加速电压时,相对较小,据次要地位。但随加速电压值的降低,其在电子整体能量中的占比就会增加。加速电压达到100V后,该差异的影响就不得不考虑。冷、热场也会呈现出信息深度上的差异。低于100V,加速电压值基本无法代表电子的实际能量值。电子能量真低于100eV,能充分激发最高能量为50eV的二次电子?从以上两张原子力显微镜的图片可见,湿法膜结构为骨节状骨架表面有一薄膜层。膜层应该是非常的薄,估计只有几个纳米。扫描电镜采用极低的加速电压100V来观察可见如下结果:左图某冷场发射扫描电镜图,图像骨节状信息不清晰,明显感觉有膜状物裹挟。右图某热场发射扫描电镜图,骨节状的结构清晰可见,表层薄膜信息却较为的淡薄。加速电压相同,热场观察到的信息更深一些,这说明在同样加速电压下,热场电子束的能量是要大于冷场扫描电镜。但是这个能量差在加速电压较高时,相对较小,图像差异也就不明显了。当加速电压到500V的时候,电子束中电子能量的相对差距相比100V来说要低很多,图像呈现的信息几乎一致。正是电子束的能量存在些微差距,这就会使得冷场扫描电镜在相同条件下对样品的热损伤会相对轻微一些。枝晶MOF,容易被电子束热损伤左图 热场只能观察不易受热损伤的粗枝晶而无法观察到如右图的细枝晶右图 冷场即便观察更容易被热损伤的细枝晶也不存在问题电子束在样品上扫描区域的面积越小,电子束能量转换也就越集中,形成的热量密度也越大,相对来说对样品热损伤也会增强。这就是倍率越高,样品越容易受电子束热损伤的主要原因。增大束流,对样品的热损伤会加大,但是受到束斑尺寸的制约。依据Castang公式束流的影响综合表现为束流密度对升温的影响,束流密度冷场要高于热场,但是以上的事例呈现的结果却于此相反。因此个人认为:电子能量的大小对热损伤的影响似乎更为关键。二、如何应对电子束对样品的热损伤Castang的升温公式告诉我们,引起样品表面升温的因素来自两个方面:样品自身的导热性这是内因,而外因在于加速电压、束流和束斑尺寸的大小。这些因素也是我们改善电子束对样品热损伤的切入点。增加样品热导率,降低加速电压和束流,增加束斑尺寸及束斑离散度,都会减轻电子束对样品热损伤的程度。但这些改变都会对扫描电镜的测试结果带来负面影响,因此对“度”的掌控,找寻最合理的测试条件的综合解决方案,是应对电子束对样品热损伤的最佳选择。电子显微镜冷冻操作技术的发展,为应对样品的热损伤开拓了更大的空间。显而易见,降低样品温度会减少电子束对样品的热损伤,特别在液氮降温技术被成熟运用之后,效果极为明显。但冷冻技术的操作较复杂、成本较高且会带来样品仓室污染,影响仪器的分辨能力,目前运用的并不广泛。下面仅探讨常温下的热损伤解决方案。在探讨这一综合解决方案之前,将首先对以上单一解决方案的具体操作方式给予一一的描述。2.1 应对样品热损伤的内部因素调控改善样品性能应对电子束的热损伤,必须以尽量减少对表面形貌的破坏为先决条件。对于该项工作的实际操作方式,依据个人的实践经验可总结为:合理的样品老化,以便增加样品对热损伤的耐受力;适度的蒸金以提升样品表面的导热性。采用导电胶对样品的充分固定是进行以上操作的先期必要步骤,导电胶要涂至样品表面。在样品可耐受的温度范围内,对样品整体进行烘烤老化,一般需几个小时或过夜甚至更长时间,尽可能去除样品表面附着的挥发物。需要的话,可将样品在电镜中采用低剂量的电子束(较低的加速电压和束流)在低倍率下轰击直至稳定,这期间要监控样品在电子束的轰击下是否会出现形貌的变化,如果出现形貌的改变则必须将电子源能量进一步降低。如果样品老化效果不佳,则可以采用蒸金的方式以改善样品表面的导热能力,减少电子束对样品的破坏。样品表面蒸金须考虑以下几个影响样品形貌信息的事宜:①蒸金时对样品的热损伤。②蒸金量对样品形貌信息的覆盖。③镀层的均匀性,保证在较少蒸金量的情况下有更好的导热性。要满足以上三点,控制好电流和单次蒸金时间极为关键,个人认为单次蒸金时间最好不要超过20秒。低剂量的多次、短时间蒸镀是解决问题的最佳方案。具体蒸金量可通过实际观察效果予以调整。2.2 应对样品热损伤的外部因素调控依据Castang升温公式,较低的加速电压和束流强度,较大的束斑尺寸都会使得同等条件下样品观察区域的温度上升较小,对样品细节的热损伤也会较轻或基本不会形成热损伤。但过低的加速电压和束流,以及较大的束斑尺寸会影响图像质量并限缩样品形貌信息的获取,具体探讨可参见经验谈8《加速电压和束流选择》。要获取更充分的样品形貌信息必须扩大这些测试条件的选择范围。工作距离、图像倍率以及电子束扫描速度的选择都会对样品的热损伤产生较大的影响。而在对它们做出合理的选配之后将会极大的扩大加速电压、束流以及束斑尺寸的选择余地。工作距离越小,电子束的会聚角就会增大,电子束的束流密度将会增加,从而在同等条件下对样品的热损伤也会加大。样品的热损伤常常会出现在高倍率的调整过程中(如上图红框部)。表现为高倍率调整部位的细节与周边细节极度的脱节,被热损伤的部位细节明显的收缩并加粗,这些都显现在了左图采用1.7mm工作距离所获取的形貌像中。右图采用8.7mm工作距离所获取的形貌像在相同部位则与周边细节的变化完全的匹配,未受到电子束的热损伤。但是工作距离的过度拉大,会使得电子束斑的弥散加大,不利于获取高质量的高倍率形貌像。故测试时要取、舍得当,没有舍哪来取。依据个人经验,当工作距离达到15mm以后,由于电子束弥散较大,电子束对样品的热损伤会降低的极为明显。因此,对加速电压和束流的限制会下降很多,对它们的选择空间将明显加大。扫描电镜的放大倍率越低,电子束在样品上的扫描密度就越稀松。使得电子束在样品上产生的热量较为分散,局部温度降低的较为明显,对样品的热损伤也会减弱。在常规测试时,往往会发现电子束对样品的热损伤都是出现在高倍率的仪器调整(调焦及消像散)时。当电子束在样品上快速移动时,电子束在某点停留时间的减少,也会将单次能量的转换量降下来,同样也会减缓温度的提升并随电子束的快速移动而发散开来。大量的实践经验告诉我们,对样品某点的热损伤除了升温的高低之外,关键还在于驻留时间的长短。同等条件,驻留时间越短电子束对样品的热损伤越小。因此采用快速扫描获取样品的形貌像也是克服样品热损伤的有效方法。依据本人长期测试经验,应对样品热损伤,在外部因素的调控方面,选用较大的工作距离以及快速的扫描方式获取图像,对减缓热损伤的效果要远高于在加速电压、束流及束斑尺寸方面的选择。2.3 如何应对样品的热损伤以下内容为本人数十年,特别是近十年的经验总结,仅作参考。要充分应对样品的热损伤,样品的处理极为关键。而样品处理在2.1节已有较为详细地描述,这里要强调的是,固定是最先要做的基本工作,因为样品的整体固定不但是解决图像漂移的基础(容易热损伤的样品本身就不稳定)同时也为后期的导热提供通路。样品的老化和金属化(蒸镀金属材料)要采用低剂量的叠加方式尝试着来,随时观察判断并调整极为关键,否则很容易破坏样品的细节。对测试条件的选择,加速电压和束流的选择要以获取样品信息为准,兼顾其对样品热损伤的影响。对热损伤的处理主要交给工作距离和获取形貌像时的扫描速度来解决,这样效果反而更好。大工作距离有利于获取样品的大部分表面形貌信息,同时也有利于减弱电子束对样品的热损伤。快速的扫描模式虽然会影响形貌像的图像质量,但是并不会对形貌信息产生太大的影响,而加速电压和束流选择的不同对获取样品的细节信息,影响就要大很多。电子束对样品的热损伤最容易出现在高倍率情况下的像散和焦点调整,因为此时电子束会长时间的汇聚在某一区域。电子束的长时间驻留对样品热损伤要大于温度的影响,当然这都是在一定“度”的范围内。在进行调整操作时会形成样品热损伤,不一定在拍摄形貌像时也存在热损伤,关键是你要调整好拍摄形貌像时的电子束扫描速度。所以调焦和消像散应当采取“临近点调焦”的原则,利用多个临近点的对中、调焦和消像散来减轻拍摄点的热损伤现象。三、结束语扫描电镜测试时电子束对样品的热损伤是最让测试者头痛的问题。形成样品热损伤的因素有很多,依据Castang升温公式,加速电压、束流、束斑尺寸以及样品的热导率是导致样品温度上升的主要因素,也是形成样品热损伤的主要因素。对于样品来说,热导率是内因,其他都是外部因素。而要解决样品热损伤问题,着眼点就是对这几个因素进行调整。对内因的解决方案主要是样品的固定、老化以及金属化(蒸镀金属)。而对外因的解决方案就是降低加速电压和束流,增加电子束束斑尺寸。在实际测试过程中往往发现对上所述的外部因素进行大范围调整会带来样品信息的缺损。而借助于工作距离和拍摄图像时对电子束扫描速度的选择,将有助于扩大加速电压、束流的调整范围。大工作距离测试不仅能带来样品热损伤的减轻,还能获得许多小工作距离无法获取的样品信息,这在过去的经验谈中有充分的探讨。自然辩证法的三大规律告诉我们,任何条件的改变都会带来一定程度的负面因素。要避免负面因素成为主导,任何因素的改变都不能走向极端。多种因素配合使用,互相弥补各自所存在的缺陷,才能获得较为完美的结果。对样品热损伤的处理也是一样,要把以上对减轻样品热损伤的所有方法结合起来使用,才会获得最佳的效果。 参考书籍:《扫描电镜与能谱仪分析技术》 张大同 2009年2月1日 华南理工出版社《微分析物理及其应用》 丁泽军等 2009年1月 中科大出版社《自然辩证法》 恩格斯 于光远等译 1984年10月 人民出版社 《显微传》 章效峰 2015年10月 清华大学出版社
  • 简述电子点天平的组成部分
    电子天平构造原理基本构造是相同的。主要由以下几个部分组成:    (1)秤盘    秤盘多为金属材料制成,安装在天平的传感器上,是天平进行称量的承受装置。它具有一定的几何形状和厚度,以圆形和方形的居多。使用中应注意卫生清洁,更不要随意掉换秤盘。    (2)传感器    传感器是的关键部件之一,由外壳、磁钢、极靴和线圈等组成,装在秤盘的下方。它的精度很高也很灵敏。应保持天平称量室的清洁,切忌称样时撒落物品而影响传感器的正常工作。    (3)位置检测器位置检测器是由高灵敏度的远红外发光管和对称式光敏电池组成的。它的作用是将秤盘上的载荷转变成电信号输出。    (4)PID调节器    PID(比例、积分、微分)调节器的作用,就是保证传感器快速而稳定地工作。    (5)功率放大器    其作用是将微弱的信号进行放大,以保证天平的精度和工作要求。    (6)低通滤波器    它的作用是排除外界和某些电器元件产生的高频信号的干扰,以保证传感器的输出为一恒定的直流电压。    (7)模数(A/D)转换器    它的优点在于转换精度高,易于自动调零能有效地排除干扰,将输入信号转换成数字信号。    (8)微计算机    此部件可说是电子天平的关键部件了o它是电子天平的数据处理部件,它具有记忆、计算和查表等功能    (9)显示器    现在的显示器基本上有两种:一种是数码管的显示器 另一种是液晶显示器。它们的作用是将输出的数字信号显示在显示屏幕上。    (10)机壳    其作用是保护电子天平免受到灰尘等物质的侵害,同时也是电子元件的基座等。    (11)底脚    电子天平的支撑部件,同时也是电子天平水平的调节部件,一般均靠后面两个调整脚来调节天平的水平。下面为欧洲瑞德威电子天平的图片:
  • 日本INSENT电子舌近期英文文献分享
    日本INSENT电子舌 英文文献分享1Encapsulation of caffeine into starch matrices: Bitterness evaluation and suppression mechanism淀粉基质中咖啡因的封装:苦味评价和抑制机制单位:华南理工大学 2Characterization of selected Harbin red sausages on the basis of their flavour profiles using HS-SPME-GC/MS combined with electronic nose and electronic tongue利用HS-SPME-GC/MS结合电子鼻和电子舌对哈尔滨红肠的风味特征进行表征单位:东北农业大学3Enrichment of the umami‐taste‐active amino acids and peptides from crab sauce using ethanol precipitation and anion‐exchange resin利用乙醇沉淀法和阴离子交换树脂富集蟹肉酱中鲜味活性氨基酸和肽单位:广西大学4Ffect of different drying methods combined with fermentation and enzymolysis on nutritional composition and flavor of chicken bone powder不同干燥方法结合发酵和酶解对鸡骨粉营养成分和风味的影响单位:江南大学5Production of an innovative mixed Qu (fermentation starter) for waxy maize brewing and comparison of the quality of different waxy maize wines创新糯玉米酿造混合曲(发酵剂)的生产及不同糯玉米酒的品质比较单位:南京农业大学6Evaluation of the flavour properties of cooked chicken drumsticks as affected by sugar smoking times using an electronic nose, electronic tongue,and HS-SPME/GC-M使用电子鼻、电子舌和HS-SPME/GC-MS评价受糖烟熏时间的影响的煮熟鸡腿的风味特性单位:东北农业大学
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制