当前位置: 仪器信息网 > 行业主题 > >

光刻机

仪器信息网光刻机专题为您提供2024年最新光刻机价格报价、厂家品牌的相关信息, 包括光刻机参数、型号等,不管是国产,还是进口品牌的光刻机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合光刻机相关的耗材配件、试剂标物,还有光刻机相关的最新资讯、资料,以及光刻机相关的解决方案。

光刻机相关的资讯

  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 维普半导体:光刻机配套IRIS机台交付国内某光刻机客户
    维普半导体今日官微消息,7月1日,维普光刻机配套IRIS颗粒检测产品累计第5套顺利发机,交付国内某光刻机客户。IRIS模块-即集成掩模检测系统(Integrated Reticle Inspection System),是光刻机中的一个重要组成部分。其主要作用是对掩模版(即光罩)玻璃面、保护膜面颗粒进行检测,消除因颗粒污染导致光刻后批量Wafer的失效,从而保障半导体晶圆制造的准确性和稳定性。
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • 俄罗斯明年开始生产光刻机!
    根据俄罗斯媒体报道指出,俄罗斯正在研发生产芯片的微影光刻机。其工业和贸易部副部长Vasily Shpak 在接受媒体访问时指出,2024 年将开始生产350 纳米微影光刻机,也就是说在明年俄罗斯就能拥有自己的光刻机了。此外,在2026年启动用于生产130 纳米制程芯片的微影光刻机。其生产将在莫斯科、泽列诺格勒、圣彼得堡和新西伯利亚的现有工厂进行。Vasily Shpak 指出,当前全球只有两家公司生产此类设备,包括日本NIKON 和荷兰ASML。然而,其对于半导体的生产相当重要。Vasily Shpak 指出,一个简单的逻辑就是,如果没有半导体主权,那就没有技术主权,那么你在国防安全和政治主权方面就非常脆弱。而现在俄罗斯已经掌握了使用外国制造65 纳米微影光刻机的技术,但因为外国公司被禁止向俄罗斯出口先进的微影光刻机,所以俄罗斯正在匆忙开发自己的生产设备。Vasily Shpak 表示,2024 年就将拨款2,114 亿卢布(约23亿美元)用于国内电子产品的开发。而俄罗斯决定开发350 纳米到65 纳米微影光刻机的原因,在于这一技术范围内的芯片多用于微控制器、电力电子、电信电路、汽车电子等方面上,这些应用大约占市场的60%。所以,这项设备在全世界市场的需求量很大,并且将在至少10 年内有持续的需求。另外,当被问到可能遭遇的阻力时,Vasily Shpak 说,我不想抱怨,所有的问题都不是问题,因为这关系到我们拥有哪些机会,以及所设定的目标。
  • EUV光刻机“忙疯了”
    据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。推动摩尔定律关键因素:High NA EUV技术据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。先进制程竞争开战:光刻机“挺忙的”在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 北大新型电镜技术助力国产光刻机攻关
    北京大学量子材料科学中心高鹏研究组基于扫描透射电子显微镜发展了四维电子能量损失谱技术,突破了传统谱学手段难以在纳米尺度表征晶格动力学的局限,首次实现了半导体异质结界面处局域声子模式的测量,近日更是被《半导体学报》列为2021年度中国半导体十大研究进展。这项科技成果的诞生,不仅是我国高端科学仪器领域的一个重要突破,更为实现国产EUV光刻机、掌握芯片核心技术、攻克国产半导体核心技术壁垒增添了动力。四维电子能量损失谱测量界面晶格动力学(a)实验原理示意图;(b)实验测得的声子局域态密度空间分布;(c)界面模式的色散关系。芯片的重要性不用再说,一直被称为“现代工业的粮食”。芯片生产流程中最复杂、最关键的工艺步骤“光刻”需要光刻机来完成,因此,光刻机又被称为“现代光学工业之花”,是盘活国产半导体行业的“齿轮”。光刻机科技含量高,制造工序复杂,仅荷兰ASML的一款EUV光刻机就需要来自全球35个国家5000多家企业的10万多个元器件,并且其中90%的零部件也都是依赖于进口。目前,全球仅有三个国家的四家公司能够制造,荷兰ASML与日本的佳能、尼康占据了全球光刻机市场99%的份额。其中,ASML市场份额常年高达60%以上,呈现霸主垄断地位,并且完全地垄断了超高端光刻机领域;日本的尼康和佳能曾经非常领先,但后来被ASML超越,现在只能生产中低端光刻机;我国的上海微电子的封测光刻机做得不错,但前道光刻机还有差距。说完市场再论技术EUV光刻机一般指极紫外线光刻机,是生产7nm工艺以下芯片的必要设备。制造EUV光刻机最为关键的三大核心组件包括极紫外光源、双工件台和镜头。攻克这三关,制造EUV光刻机指日可待。极紫外光源是顶级光源,ASML在2012年通过收购美企Cymer掌握了此项技术。我国的上海光机所和长春光机所已经突破了14纳米极紫外光源技术,即将转入到实际成果的投用环节。双工件台属于精密仪器制造技术,之前是ASML独家掌握的技术,目前清华旗下的华卓精科已经实现突破,成为了全球第二家掌握光刻机双工件台技术的企业,已成功供货上海微电子。三大核心组件中,最重要的是高精度镜头,一直被德国蔡司垄断。就算是光刻机巨头ASML的镜头也得依赖德国蔡司,而且30多年来,ASML对蔡司的依赖越来越严重。EUV光学镜头由于技术壁垒高、突破难度大。因为都是欧洲企业,ASML可以依赖蔡司,我们中国却不能,因为《瓦森纳协议》。如今,ASML想要研发更先进的EUV光刻机,就需要更大数值孔径的镜头。但这对蔡司来说也是一个挑战,毕竟数值越大,制作难度就越大。德国蔡司的镜头是人工打磨,属于传统谱学制成的EUV光镜。而EUV光镜决定着EUV光刻机的制程上限,基于传统谱学的EUV光镜很难满足硅基半导体未来发展的需求。即使是非常厉害的蔡司,往更高精度发展,也会越来越难以保证。现下,北京大学掌握的这项新型扫描透射电子显微镜技术,就可以规避传统光谱镜头的局限性,能向更高精度发展。简单来说,光学镜头做不到的,电子镜头能做到。光学镜头能做到的,电子镜头也能做到,而且精确性更高。加上上海光机所、长春光机所的极紫外光源技术和华卓精科双工件台技术,我国围绕EUV光刻机的相关技术正在陆续突破,接下就是提高精度,实现合围了。国内光刻机技术的陆续突破,主要源于我们早就布局,并不是近年来才起步。这跟我们的高端科学仪器产业链一样,各项技术环节都在攻坚,相信不远的未来一定能够实现突破。
  • ASML最新光刻机曝光:20亿一台
    众所周知,制造7nm及以下工艺的芯片,需要用到EUV光刻机,而全球仅有ASML能够生产。ASML在2015年,就推出了第一代EUV光刻机WINSCAN NXE:3400B,之后在2019年推出了NXE:3400C,2021年推出了NXE:3600D。不过据称,NXE:3600D型号的EUV光刻机,支持的工艺可能仅到3nm,如果要制造2nm的芯片,光刻精度还要提升,需要新一代的High-NA极紫外光刻机才行。而光刻精度怎么提升,就是数值孔径的提升了, 前几代光刻机,比如3400B/C、3600D的数值孔径都是0.33NA的,解析度(精度)为13nm,单次构图间距为32nm到30nm。而要生产2nm的芯片,数值孔径要变为0.55NA,也就是解析度(精度)为8nm,这样可以更更快更好地曝光更复杂的集成电路图案,同时单次构图间距低于30nm。这种新的EUV光刻机叫做型号,就叫做EXE:5200,目前ASML已经有了规划,预计在2024年底,或者2025年交付。而基于0.55NA数值孔径的光刻机,光刻分辨率将允许芯片缩小1.7倍、同时密度增加2.9倍,其处理晶圆的能力是每小时处理220片12寸晶圆左右,真正用于制造3nm以下的芯片。一小时处理220片12寸的晶圆,其产能有多大?如果是苹果A16这样的芯片,一块晶圆可以切割600块左右,理论上一台这样的光刻机,一年可以就光刻10亿颗以上… … 至于价格方面,ASML表示,其0.55NA的下一代EUV光刻机单价将达到3亿多美元(约合20亿元人民币)。至于买家,当然只有台积电、三星、英特尔三家才有资格购买,其它的晶圆厂,能够买到0.33NA的EUV光刻机,就已经非常不错了,不要想这种0.55NA的。当然,如果不生产7nm及以下的晶圆,EUV光刻机都不需要,DUV就够了,更就不用纠结这3亿多美元一台的0.55NA的EUV光刻机了。
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laser interferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 国产光刻机及关键核心零部件研发进展
    p style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息… … /span/pp style="text-align:center text-indent:29px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-size: 15px line-height: 150% font-family: 宋体 "网传华为自研光刻机/span/strongstrong/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "1、 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。/span/pp style="text-align:center text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strong02/strongstrongspan style="font-family: 宋体 "专项核心零部件研发进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "双工件台系统完成验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“极紫外光刻关键技术研究”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“超分辨光刻装备研制”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他项目紧锣密鼓进行中/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。/span/pp style="text-align:center line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他团队光刻机研究进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,… … 虽是等于为帝王将相作家谱的所谓" 正史" ,也往往掩不住他们的光耀,这就是中国的脊梁… … ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。/span/ppbr//p
  • 佳能推出适合高功率半导体的光刻机
    日本佳能正通过光刻机加快抢占高功能半导体市场。佳能时隔7年更新了面向小型基板的半导体光刻机,提高了生产效率。在用于纯电动汽车(EV)的功率半导体和用于物联网的传感器需求有望扩大的背景下,佳能推进支持多种半导体的产品战略。目标是在三大巨头垄断的光刻机市场上确立自主地位。佳能将于2021年3月发售新型光刻机“FPA-3030i5a”,该设备使用波长为365纳米的“i线”光源,支持直径从2英寸(约5厘米)到8英寸(约20厘米)的小型基板。分辨率为0.35微米,更新了测量晶圆位置的构件和软件。与以往机型相比,生产效率提高约17%。佳能的光刻机新机型调整了测量晶圆位置的“校准示波器”的构成,与曝光工序分开设置了测量单元。通过同时进行纵横两个方向的测量而缩短了时间,并通过扩大测量光的波长范围,实现了对难以识别标记的多层基板和透明基板的支持,而且能够识别出晶圆背面的标记。除了目前主流的硅晶圆之外,新机型还可以提高小型晶圆较多的化合物半导体的生产效率。包括功率器件耐压性等出色的碳化硅(SiC),以及作为5G相关半导体材料而受到期待的氮化镓(GaN)等。随着纯电动汽车和物联网的普及,高性能半导体的需求有望增加。在半导体光刻机领域,荷兰ASML和日本的佳能、尼康3家企业占据了全球9成以上的份额。在促进提升半导体性能的精细化领域,可使用短波长的“EUV”光源的ASML目前处于优势地位。佳能光学设备业务本部副业务部长三浦圣也表示,佳能将根据半导体材料和基板尺寸等客户制造的半导体种类来扩大产品线。按照客户的需求,对机身及晶圆台等平台、投影透镜、校准示波器三个主要单元进行开发和组合,建立齐全的产品群。佳能还致力于研发“后期工序”(制作半导体芯片之后的封装加工等)中使用的光刻机。2020年7月推出了用于515毫米×510毫米大型基板的光刻机。以此来获取把制成的多个芯片排列在一起、一次性进行精细布线和封装的需求。佳能还致力于“纳米压印”(将嵌有电路图案的模板压在硅晶圆的树脂上形成电路)光刻设备的研发。据悉还将着力开展新一代生产工艺的研发。
  • 预算3300万!上海交通大学采购DUV光刻机
    近日,上海交通大学发布招标公告,采购深紫外步进式光刻机,预算达3300万元。以下为公告详情:上海交通大学电子信息与电气工程学院深紫外步进式光刻机国际招标公开招标公告(重招)项目概况上海交通大学电子信息与电气工程学院深紫外步进式光刻机 招标项目的潜在投标人应在上海市共和新路1301号C座110室获取招标文件,并于2021年03月03日 09点30分(北京时间)前递交投标文件。一、项目基本情况项目编号:0834-2141SH21A033项目名称:上海交通大学电子信息与电气工程学院深紫外步进式光刻机预算金额:3300.0000000 万元(人民币)最高限价(如有):3300.0000000 万元(人民币)采购需求:序号货物名称数量简要技术规格交货期交货地点1深紫外步进式光刻机1套曝光光源: 深紫外准分子激光,波长248nm。分辨率:£ 150nm(详见第八章)买方发出发货通知后4个月发货。关境外货物:CIP上海交通大学关境内货物:上海交通大学合同履行期限:买方发出发货通知后4个月本项目( 不接受 )联合体投标。
  • ASML完成第100台EUV光刻机出货
    最新数据显示,ASML在12月中完成了第100台EUV光刻机的出货。业内预估ASML今年(2021年)的EUV光刻机产能将达到45~50台的规模。7纳米及更先进制程,必须借助光刻设备转印半导体电路图案。追逐先进制程的芯片制造厂商中,台积电和三星均已引入光刻机。目前,台积电和三星已进入5nm工艺的量产阶段,台积电代工的产品包括苹果A14、M1、华为麒麟9000等,后者则包括Exynos 1080、骁龙888等。据日经中文网报道,在半导体制造领域将电路转印到基板的设备市场上,尼康、佳能和荷兰ASML这三家企业形成垄断,但支持EUV技术的设备目前只有ASML成功实现商用化。ASML表示,迭代到5nm后,EUV的层数达到了10~14层,包括但不限于触点、过孔以及关键金属层等过程。未来的3nm、2nm,对EUV的依赖将更甚。另外,ASML定于明年中旬交付最新一代EUV光刻机TWINSCAN NXE:3600D,生产效率提升18%、机器匹配套准精度改进为1.1nm,单台价格或高于老款的1.2亿欧元(约合9.5亿元人民币)。
  • 国家工程研究中心完成光刻机进场搬迁工作
    12月9日,宽禁带半导体国家工程研究中心开始第三批设备移机搬迁工作,本次搬迁的是平台技术创新能力建设中最核心的两台套光刻机设备。光刻机在芯片领域以及半导体领域当中起着至关重要的作用,而光刻机的运输、搬迁、安装调试又对室内外温度等环境条件有着很苛刻的要求。为确保移机搬迁工作顺利进行,学校学院领导高度重视、靠前指挥,实地勘察、现场督导,叮嘱安全事项,确保万无一失。各职能部门协同配合,给予大力支持,保障运输通道。国工中心青年教师和硕博士研究生赶到现场共同参与搬迁,见证历史时刻,虽然已进入寒风习习的早冬,但是大家的心却是火热的,早早的来到现场翘首以盼,迎接“贵客”到来。本次搬迁共由四个小组配合完成,指挥组负责搬迁工作的整体协调指挥,外部协调组负责协调移机车辆进入校园的路径及沟通工作,拆箱组负责超净室外设备拆箱,定位组负责超净室内设备定位和移机顺序的把控。各小组通力合作、规范操作,严格遵照光刻机对环境条件要求实施,顺利完成了移机工作。据悉,半导体国家工程研究中心实验大楼项目按国家级科研基地要求设计,自2021年6月开工建设现已进入验收阶段,即将投入使用。大楼总建筑面积22000平方米,其中一楼建有2800平方米超净室未来将成为集“人才培养-科研创新-产教融合”为一体的综合性实验大楼。目前,新购45台套大型仪器设备已全部移入超净室内,较好地完成了国家部委要求的能力建设内容。作为我国宽禁带半导体领域唯一一个国家工程研究中心,建成后将致力于打造成我国第三代新型半导体技术创新、成果转化、人才培养科技合作与交流的国家级研究基地。本次光刻机设备的移入也是超净室正式投入使用的重要标志。
  • 台积电2024-2025年将接收超60台EUV光刻机
    7 月 1 日消息,据台媒《工商时报》报道,台积电将在 2024~2025 年接收超 60 台 EUV 光刻机,而其今明两年在 EUV 光刻机上的投入将超 4000 亿新台币(IT之家备注:当前约 896.61 亿元人民币)。报道表示,ASML 的 EUV 光刻机目前供应紧张,从下单到交付的整体周期已达 16~20 个月。台积电今明两年将分别下达约 30 和 35 台的 EUV 光刻机订单,这些订单中的大部分将从 2026 年开始交付。台媒援引供应链消息指出,ASML 对 2025 年产能的规划是 20 台 High-NA EUV 光刻机、90 台 EUV 光刻机和 600 台 DUV 光刻机。 ASML 目前最先进的 0.33NA EUV 光刻机 NXE:3800E根据台积电官方路线图,其目前已规划的最先进工艺 16A 将于 2026 年量产,仍采用传统 0.33NA EUV 光刻机。换句话说,台积电暂未考虑在量产制程中导入 High-NA。虽然 ASML 方面已确认将在 2024 年内向台积电交付 High-NA EUV 光刻机,但这一机台仅用于制程开发目的。台媒也表示,台积电暂无在 2025~2026 年引入量产用 High-NA EUV 光刻机的规划。
  • ASML首台0.55NA光刻机正式交付Intel
    近日,荷兰光刻机巨头ASML公司宣布,优先向Intel公司交付其新型高数值孔径(High NA EUV)的极紫外光刻机(可能是Twinscan EXE:5200)。根据目前公开可查到的信息,这台光刻机为新型高数值孔径极紫外光刻机,成本要超过3亿美元。体积有一整个卡车车箱大小,是目前最先进的光刻机。而这台光刻机的研发过程,整整持续了10年。英特尔是全球首家获得ASML 该最新型号的高数值孔径的极紫外光刻机的芯片巨头。目前,这台光刻机已从ASML荷兰总部发出,预计很快就可以交到英特尔手中。但这台光刻机要到2026年或2027年,才能真正用到商业芯片制造中。据了解,ASML新交付的高数值孔径光刻机,是NA 0.55的EUV光刻机,是初代EUV NA 0.33的进化版,其核心优点是: half pitch 从13nm—8nm,可以认为是分辨率有大幅提升,主要应用为中段MOL的金属互联,可能会用于GAA的前道。但缺点在于,景深DOF大幅下降,对wafer平整度和翘曲要求更高;field size也有下降,大的芯片要做图案缝合stitching或者改成chiplet小芯片。有消息称,ASML阿斯麦将在2024年生产最多10台新一代高NA(数值孔径) EUV极紫外光刻机,其中Intel就定了多达6台。
  • 历经波折 上海新阳终于收到ASML-1400光刻机
    3月8日,上海新阳半导体材料股份有限公司发布公告,披露了关于购买ASML-1400光刻机的最新进展。据介绍,上海新阳自立项开发193nmArF干法光刻胶的研发及产业化项目以来,安排购买了ASML-1400光刻机等核心设备,并于2020年12月14日披露,该光刻机将于2020年底前运抵国内。不过此后,由于上海新阳与光刻机供应商、北方集成电路技术创新中心(毕竟)有限公司在沟通协调设备运输与安装等细节方面遇到波折,光刻机设备没能在规定时间内运达。随后,双方就具体合作细节签署了《合作框架协议》,预计该光刻机将于2021年3月底前进入北方集成电路现场。现经各方积极协商、运作,这台光刻机设备于今日已进入北方集成电路技术创新中心(北京)有限公司的场地,后续将进行安装调试等相关工作。上海新阳表示,采购的ASML干法光刻机设备顺利交付,对加快193nm ArF干法光刻胶产品开发进度有积极影响,有利于进一步提升公司光刻胶产品的核心竞争力,加快落实公司发展战略,提高公司抗风险能力和可持续发展能力。不过,这台光刻机尚须经过装机、调试等相关环节,如果出现工作疏漏或失误,则存在造成光刻机投入使用过程较长,甚至无法投入使用的风险。另外,光刻胶研发项目技术壁垒高、周期长,投入产业化并最终实现销售利润,仍需一定时间,而且价格昂贵,其折旧及后续维护费用预计对公司的经营业绩存在一定影响。
  • 一台30亿 ,ASML下一代光刻机价格大涨
    6月17日消息,在半导体工艺进入7nm节点之后,EUV光刻机是少不了的关键设备,目前只有ASML能制造,单台售价10亿人民币,今年底还会迎来下一代EUV光刻机,价格也会大涨。光刻机的分辨率越高,越有利于制造更小的晶体管,而分辨率也跟光刻机物镜的NA数值孔径有直接关系,目前的EUV光刻机是NA=0.33技术的,下代EUV光刻机则是提升到NA=0.55。根据ASML公司高管日前透露的消息,NA=0.55的EUV光刻机今年底会出货首个商用原型,2025年会正式量产。他没有公布具体哪家公司会首发NA=0.55光刻机,但之前英特尔公司表示他们会率先使用下代EUV光刻机,已经巨资提前下单。按照2025年出货的时间点来看,台积电、英特尔、三星的2nm级别工艺是赶不上的,最快也要到1.4nm工艺才能用上NA=0.55光刻机,未来生产1nm工艺则是不可少的设备。伴随技术提升的还有售价,由于更加复杂、精密,NA=0.55的EUV光刻机价格大幅上涨,具体多少不确定,此前消息称不低于4亿美元,人民币接近30亿元了,是现在的2-3倍。这还不排除未来正式商用的时候价格进一步上涨,毕竟还要好几年才能上市。
  • 清华团队新成果在《自然》发表:有望解决光刻机自主研发难题
    2月25日,清华大学工程物理系教授唐传祥研究组与来自亥姆霍兹柏林材料与能源研究中心(HZB)以及德国联邦物理技术研究院(PTB)的合作团队在《自然》(Nature)上发表了题为《稳态微聚束原理的实验演示》(Experimental demonstration of the mechanism of steady-state microbunching)的研究论文,报告了一种新型粒子加速器光源“稳态微聚束”(Steady-state microbunching,SSMB)的首个原理验证实验。基于SSMB原理,能获得高功率、高重频、窄带宽的相干辐射,波长可覆盖从太赫兹到极紫外(EUV)波段,有望为光子科学研究提供广阔的新机遇。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。“我国EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的‘卡脖子’难题。”唐传祥说。SSMB原理验证实验示意图。 图源《自然》SSMB原理验证实验结果。 图源《自然》光刻机是芯片制造中必不可少的精密设备SSMB概念由斯坦福大学教授、清华杰出访问教授赵午与其博士生Daniel Ratner于2010年提出。赵午持续推动SSMB的研究与国际合作。2017年,唐传祥与赵午发起该项实验,唐传祥研究组主导完成了实验的理论分析和物理设计,并开发测试实验的激光系统,与合作单位进行实验,并完成了实验数据分析与文章撰写。唐传祥教授和HZB的Jörg Feikes博士为论文通讯作者,清华工物系2015级博士生邓秀杰为论文第一作者。“SSMB光源的潜在应用之一是作为未来EUV光刻机的光源,这是国际社会高度关注清华大学SSMB研究的重要原因。”唐传祥介绍。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。光刻机的曝光分辨率与波长直接相关,半个多世纪以来,光刻机光源的波长不断缩小,芯片工业界公认的新一代主流光刻技术是采用波长为13.5纳米光源的EUV(极紫外光源)光刻。EUV光刻机工作相当于用波长只有头发直径一万分之一的极紫外光,在晶圆上“雕刻”电路,最后将让指甲盖大小的芯片包含上百亿个晶体管,这种设备工艺展现了人类科技发展的顶级水平。荷兰ASML公司是目前世界上唯一的EUV光刻机供应商,每台EUV光刻机售价超过1亿美元。新成果有望解决自主研发光刻机的“卡脖子”难题唐传祥介绍,大功率的EUV光源是EUV光刻机的核心基础。目前ASML公司采用的是高能脉冲激光轰击液态锡靶,形成等离子体然后产生波长13.5纳米的EUV光源,功率约250瓦。而随着芯片工艺节点的不断缩小,预计对EUV光源功率的要求将不断提升,达到千瓦量级。“简而言之,光刻机需要的EUV光,要求是波长短,功率大。”唐传祥说,“大功率EUV光源的突破对于EUV光刻进一步的应用和发展至关重要。基于SSMB的EUV光源有望实现大的平均功率,并具备向更短波长扩展的潜力,为大功率EUV光源的突破提供全新的解决思路。”唐传祥指出,EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的“卡脖子”难题。这需要SSMB EUV光源的持续科技攻关,也需要上下游产业链的配合,才能获得真正成功。《自然》评阅人对该研究高度评价,认为 “展示了一种新的方法论”,“必将引起粒子加速器和同步辐射领域的兴趣”。《自然》相关评论文章写到“该实验展示了如何结合现有两类主要加速器光源——同步辐射光源及自由电子激光——的特性。SSMB光源未来有望应用于EUV光刻和角分辨光电子能谱学等领域。”目前,清华正积极支持和推动SSMB EUV光源在国家层面的立项工作。清华SSMB研究组已向国家发改委提交“稳态微聚束极紫外光源研究装置”的项目建议书,申报“十四五”国家重大科技基础设施。
  • 从“小破厂”到全球一哥,光刻机巨头ASML的周期逆袭史
    随着2022年疫情起伏,一些城市进入封闭和静态管理节奏,很多创业公司也进入经营的艰难时刻。穿越周期对任何公司来说都并不容易,此刻我们想梳理和研究一些公司,它们或是某个硬科技领域的隐形冠军,或是一些重要赛道的著名公司,来看看艰难时期它们是怎么成长的。  第一篇我们选择了阿斯麦ASML,“光刻机”作为一个赢者通吃的硬科技领域,在它身上显现了太多科技公司发展的要素——如何押注正确的技术路径?是选择渐进式创新还是颠覆式创新?如何在短时间内做出正确的战略决策?如何发挥出高效的执行力?……这些也都是当下硬科技公司所需要面对的难题。ASML从一个被抛弃的研发项目,屡次走在破产边缘,到如今成为全球光刻机霸主,它如何度过那些绝境时刻?如何小心翼翼地穿越周期?尽管很多公司的成功不可复制,但这样的故事依然是引发思考的绝佳养料。  “他们不来了?他们不来了?他们不能这样做!” ASML总裁兼首席技术官马丁范登布林克差点把他的电话机砸烂。1991年因为海湾战争的爆发,出于安全考虑很多跨国公司禁止高管乘坐飞机。  “他们”指的是IBM,因为这样的禁令,IBM的高管无法来与ASML进行最后的合同谈判。但此时ASML的财务状况几乎进了ICU,如果拿不到IBM的订单,1991年的ASML就会破产。ASML压上了全部身家,为了IBM的订单疯狂努力了好几年,这些努力,都会因为这场跟他们毫无关系的战争而化为泡影。  1991年的ASML,还远不是我们今天看到的ASML。今天ASML风光无限,光刻机被称为现代工业皇冠上的明珠,是制造芯片的核心设备,全世界只有少数几家公司拥有这样的技术。中国芯片产业最大的短板就是EUV光刻机,而这家荷兰公司占有45nm以下高端光刻机80%的市场,而在极紫外光(EUV)领域,ASML是全球独家生产者。  但曾经的ASML,无数次走在资金链断裂的边缘,小心翼翼地穿越经济周期。贯穿始终的,是ASML对技术路径的卓越把握和几乎无止境的研发投入。从推出PAS 2500在光刻机领域站稳脚跟,随后经过改进的PAS 5500进入头部行列,到与台积电合作成果研制浸没式光刻机系列,一举奠定霸主地位。  再到2010年推出第一台EUV光刻机原型,以及通过外延并购形成整体光刻产品组合,从ASML的发展历程中可以看出,要想做出一家战略级硬科技公司,是需要冒多么大的风险,有着多么大的决心,花费多么大的资金,才有可能成长起来。  我们通过书籍、券商研报、媒体报道等资料,研究了ASML的发展史,并结合对硬科技的投资逻辑总结了一些观点。以下,Enjoy:  经济危机救了ASML:我们看到ASML是怎么小心翼翼地穿越经济周期,回过头来看惊诧地发现,其实经济危机救了它   硬科技的艰难抉择——押注改进还是颠覆:ASML真正的崛起里程碑,是选对了技术路径,但有时候成功来自于渐进式创新,有时候又来自于颠覆式创新,选对了一飞冲天,选错了万丈深渊,我们来看看ASML的启示   关键转折点——贵人相助与敌人犯错:企业要想成功,离不开盟友助力与敌人犯错,台积电是ASML的贵人,两家力推的浸没式光刻技术,打败了当时流行的干式光刻技术,这也源自敌人尼康、佳能的错误。当运气来了,要怎么抓住它,看看ASML是怎么做的   合作才能走得更远:今天的ASML 90%的零件其实是外购的,它是一家集成商,背后是美国、日本、欧洲、中国台湾、韩国多家公司与研究所的技术支撑,最终才能量产出极度复杂的EUV光刻机,合作与形成利益共同体是长远之道。  1  经济危机救了ASML  “坐视我们这种高风险企业快速倒闭,是典型的荷兰人做法。如果我们办公室的灯连续13个晚上亮着,政府劳工检验员会要求查看我们的工作许可证。但我们要把一个关键的战略产业拱手让给美国和日本吗?那我只能说,你们以后就去快乐地挤牛奶、搅黄油和种郁金香吧。” 德尔普拉多曾愤愤不平地在接受媒体采访时说。  德尔普拉多是ASM的创始人,他在1984年接手了被飞利浦抛弃的光刻机研发团队,成立了合资公司ASML。ASM是制造芯片生产设备的,但无论从技术和规模上,飞利浦都看不上ASM,所以在寻找接手方时,连谈判的机会都没有给它。  德尔普拉多是个猛人,他几乎吃饭、睡觉和呼吸都在ASM,他的魅力、野心和无畏展露无遗。ASM有欣欣向荣的一面,但也有深陷泥沼的一面。欣欣向荣的是,ASM是荷兰经济惨淡景象中的一颗璀璨明珠,正从一家设备分销公司转型为独立设备制造商,收入开始增长 但深陷泥沼的一面是连年的亏损、不大的规模、面临众多技术先进的竞争对手……普拉多一直在用“芯片是战略产业”这一点来吸引荷兰政府资金的投入,但政府耐心也有限。  直到1983年,飞利浦在其他人那里碰了一鼻子灰,在经历了和3家公司谈判失败后,所有人都士气低落。而ASM在纳斯达克的成功上市,令飞利浦看到也许ASM还是有钱的。在飞利浦高层再一次明确必须放弃像光刻机这样的非核心业务后,必须抓住最后一次机会来挽救光刻机团队,阻止裁员的发生。  飞利浦光刻机项目早期的产品SiRe1 图片来源:Lithography giant:ASML's rise  于是,ASM作为最后一根稻草,会谈开始了。这场会议只持续了1个小时15分钟。“对不起,失陪一小会儿。”普拉多与飞利浦光刻机团队负责人克鲁伊夫聊了15分钟后,他走出房间与团队商量。将近一个小时过去了,他才回来,然后说:“让我们一起做吧。”  光刻机业务符合普拉多的雄心壮志,他制造了芯片生产过程中每一道工序所需要的机器,但唯独缺乏最具战略性的光刻机。  但合并一个光刻机团队也是巨大的冒险。在这场谈判的一年前,ASM的收入才3700万美元,然而仅新一代步进光刻机的研发费用,就将远远超过5000万美元。并且与光刻机所需的先进技术相比,ASM以前掌握的技术简直不值一提。  一家小公司与巨头合作,话语权往往落在谁更需要谁。先进技术令飞利浦在新成立的合资公司ASML中享有很大话语权,为了获得飞利浦Natlab技术实验室的后续访问权限,ASM不得不答应在新公司中与飞利浦平分股权。  飞利浦在交易中还想尽可能节约资金,财务部门起草了一份详细的合资企业必须支付的费用清单,包括为制造20台步进光刻机所需订购的零件和材料费用,以至于“这家新公司买杯咖啡就会破产”。  这就是ASML艰难的成立史,它像一艘好不容易凑齐水手、仍在四处漏水的小船,一边修补一边扬帆起航。这个艰难的开始,与后面ASML所要面临的困难相比,也只是九牛一毛。  从ASML成立的1984年开始,后面连续3年遭遇了市场长时期衰退,行业增长陷入停滞。但研究ASML的学者们提出了一种观点,市场崩溃最终证明是对公司的天赐之物。  为什么说经济危机救了ASML?  荷兰高科技学院(HTI)的董事总经理瑞尼雷吉梅克,以及诸多ASML的早期员工都认为,经济危机打击了当时的巨头,但奇迹般地给了ASML喘息的时间,让它有足够的时间来重塑其研发和生产部门,因为当时刚刚起步的ASML,走错了油压技术路线、装配厂也还根本无法生产真正的大订单。那时如果芯片设备市场特别好,而ASML却卖不出光刻机,那么ASML会立刻失败。  另一方面,由于ASML的定位是光刻机集成商,一些零部件还需要依靠上游生产商,比如镜头,就需要德国蔡司生产,但蔡司当时的产能情况也非常糟糕。如果市场在1984年高速增长,蔡司都无法满足当时光刻机老大GCA的需求,更不可能给ASML足够的供应。  当然,这些认知是用后视镜来看,由ASML早期管理层总结出来的。但在1987年秋天,当时没有人能够感受到这种奇迹。  在经济衰退的这三年,刚刚起步的ASML主要在修炼内功,从一个士气低落、被抛弃的团队,逐渐变成一个自力更生的开发团队,物流和大规模生产系统也趋于成熟,销售和营销也已成为一股重要力量。  这种艰难开局还奠定了一个坚实的心理基础——要坚持熬过周期,在后来ASML多次濒临破产边缘时,都跟ASML在第一天就面临的困难一样。  工人正在超净室里组装 图片来源:Lithography giant:ASML's rise  2  硬科技的艰难抉择——押注改进还是颠覆  “等你卖了20台光刻机后,再回来找我谈。”  时任ASML CEO斯密特在加州一场世界一流的芯片设备展上备受打击,他到处宣扬飞利浦的光刻机项目起死回生了,但得到的反馈寥寥无几。当时的光刻机巨头是美国GCA和新崛起的日本尼康,装机量(在客户工厂中运行的机器数量)是所有人关心的关键指标,GCA和尼康已经达到数百台,而ASML还是零。  这个指标之所以重要,是因为光刻机过于复杂,以至于光刻机供应商需要配备大量服务工程师,以应对突发情况。一些微小的因素就会导致光刻机出现问题,实践经验非常重要。  带着绝望的心情,斯密特回到了荷兰,他除了觉得芯片行业充满活力之外,其他都是沮丧的消息。绝境逼人思考,当他回顾在整个差旅中看的一切时,似乎在黑暗中有一丝光线若隐若现。  当时,整个芯片行业即将跨越一个难关,这为设备制造商创造了机会。在加州的展会上,每个人都在谈论摩尔定律,谈论下一代机器——从大规模集成电路(LSI)到超大规模集成电路(VLSI)。  显然在未来几年内,芯片线路将缩小到1/1000毫米以下,光刻机处理的将不再是4英寸的晶圆,而是6英寸的晶圆。  随着这个转变,超大规模集成电路需要新一代光刻机,这种机器要能够将0.7微米的细节成像到晶圆上,并实现更紧密的微电子集成。在所有的坏消息中,唯一的好消息就是,还没有人找到制造这种光刻机的方法。  大门虽关闭,但窗户已打开。斯密特与团队一起探讨,如果ASML成功开发出新一代光刻机,那么半导体行业就会被他拿下。  斯密特之所以有这样的信心,是因为新一代光刻机必须在光学、对准和定位等几乎每个方面都大幅改进。当时的行业巨头佳能、GCA、尼康和Perkin-Elmer公司制造的机器仍然使用导程螺丝杆来移动晶圆台,这意味着他们的图像细节达不到小于1微米的定位精度,而这正是ASML技术的优势所在。  斯密特也是一位有远见的人。他以前研究过航空业的整合行动,在他还在上大学的时候,世界上有50家飞机制造厂,当他拿到博士学位后,就只剩下几家了。他还在上一份工作经历中见证过电信业的技术变革。他知道一家新公司,在成熟市场是没有机会的,除非这家新厂商选择对了技术路径。Lithography giant:ASML's rise  技术路径深刻影响了光刻机公司们的起起伏伏,我们总结了三个重要启示:  早期优势有可能会转化为阻碍  ASML由于承袭了飞利浦的光刻机技术,在一开始采用的是油压驱动,而非电动。  在1973年,当爱德鲍尔在飞利浦制造了第一台步进光刻机时,这个基于油压驱动的晶圆台遥遥领先于时代。当时油压是一项卓越的技术,如果没有受到挑战是很难被放弃的。  油压装置提供了稳定性和精度极高的定位系统,但它有一个问题,就是机油如果泄漏,则会对芯片制造过程造成严重破坏。在80巴的压力下,即使是最微量的泄漏也会将整个房间喷上油雾,污染将使芯片生产停滞数月,油在芯片生产过程中是“毒药”。  并且,机油系统还会产生很多噪声,需要定制外壳来减少噪声。这些问题导致了油压驱动的光刻机没有客户。  但由于技术依赖的惯性,飞利浦没有改进这个问题,直到剥离光刻机项目。而到了ASML,也没有在一开始就重视这个问题,斯密特仍希望将这种油压设备,硬卖给那些想要尝试其高级对准系统的客户。  当然,结果肯定是失败的。虽然ASML有一张技术王牌——能够实现精准套刻的对准技术,但由于这项技术被应用于油压驱动的机器中,就是没有人买。最终斯密特决定放弃油压,改为电动晶圆台,这意味更多的研发经费、更短的研发时间、和一定的失败几率,但也不得不迎难而上。  渐进式创新的影响力可能超出想象  20世纪80年代,ASML在光刻机领域还算不上最头部的公司。当时的老大要属美国GCA。但GCA在80年代中期就迅速衰败了。  当时导致GCA失败的最终因素,主要是蔡司的g线镜头,一种光线漂移问题严重。在开始时一切都很好,但随着光刻机运行的时间变长,图像质量就会下降。因为急于向客户交付光刻机,所以GCA在把镜头安装在机器上之前不会对镜头进行检查,这导致GCA交付了数百台带有故障镜头的光刻机,而蔡司多年来对这个问题一无所知,只有不到10%的镜头被送回进行维修。  更大的问题是GCA的光刻机无法自动纠正此类错误,工程师们也不知道问题出现的确切原因。  此时,一种渐进式创新出现了。GCA的日本竞争对手(尼康)设法改进了光刻机的聚焦系统。尼康依次开发出了具有较大数值孔径的g线目镜,这种组合令尼康的系统,能够更清晰地将微小图案成像到光刻胶的薄层上。  这项渐进式创新,令尼康斩获颇丰。当时有很多厂商正在大规模投入g线技术向i线技术革新。但客户们都很看好尼康的改进,因为他们只需要换掉GCA的光刻机,而不是是重新创建一个全新的基础设施。在制造更好芯片的同时,还节省了大量资金。  在技术转型期要格外小心这些因素,尼康对g线镜头的微小创新只是其中一个。当现有技术的寿命延长,对昂贵新技术的需求就会减弱,这意味着投入时机的重要性。  要探索技术路径的迷雾,赛马制可能是不错的手段  ASML也一样会面临抉择,到底是逐步改善现有技术,还是投入新的?ASML里程碑式的光刻机PAS 5500,就是在这样的抉择中诞生的。  工程师要做的不仅是机器的物理设计,他们还必须在初期选择技术路径,然后再扩展物理设计。如果机器架构从一开始就不可靠,那么以后各个环节都会遇到麻烦,问题还将持续多年。  例如晶圆台精度就是一个不确定因素。当时,ASML在其机器中使用带有直线电动机的H型晶圆台,但随着市场对“对准精度”的要求越来越高,很难说这种技术路径的产品能在市场上存活多久。  此时,摆在面前的问题是,ASML应该选择逐步改善,还是彻底革新?如果选择逐步改善,这种技术路径很可能最终无法满足市场的新需求 另一种选择是使用革命性的长冲程、短冲程发动机寻求突破,但研发会有风险。  ASML PAS 5500的首席架构师范登布林克没有直接做出决定,其实他也很难判断到底孰优孰劣。由于这个决策意义重大,他决定在这两条路上分别试验6-9个月,两个团队分别在自己的技术路径上赛马。  最后,技术竞赛证明旧H型晶圆台,有足够的潜力定位8英寸的晶圆,所以ASML选择了这条保险的路线。长短冲程发动机被暂时雪藏,但也可作为更新换代的备选方案。  PAS 5500对于ASML来说,是一款决定性的产品,ASML把所有希望寄托在它身上,PAS 5500也的确推动ASML走向光刻机世界的舞台中心。所以在这种重大的决策上,多花点研发经费是划算的,技术路径的赛马机制是值得的。  经历了多年的苦心经营,ASML在步进扫描光刻机时代走到了巨头行列,当时的市场形成了三家独大的局面:ASML、尼康、佳能。  但令ASML真正登上霸主宝座,弯道超车打败另外两家的契机,来自于颠覆式创新,来自于台积电的一个发明。  3  关键转折点——贵人相助与敌人犯错  技术赛马制之所以重要,就在于当颠覆式创新的机会来临时,提供支撑勇气的判断。  ASML最大的弯道超车,发生在193nm制程到157nm制程的升级过程。过去步进扫描光刻机采取的技术路线都是干式法,通过用更高级的曝光光源,来支撑技术进步到下一代。为了追求更高的分辨率,光源波长从最初的365nm,到248nm,再到193nm,但再往下走时,这条技术路径出现了困难。  当时业内又面临是押注改进还是颠覆的抉择。大部分企业选择了在原有技术路径上改进,比如两大巨头尼康、佳能,都选择进一步研发157nm波长的光源,但遇到了困难。  这时候,一种全新的技术理念出现在市场上——浸没式。这个思路由台积电的华裔越南科学家林本坚提出,他创造性的用水作为曝光介质,光源波长还是用原来的193nm,但通过水的折射,使进入光阻的波长缩小到134nm。  以前的干式法中,曝光介质用的是空气。它们的区别在于折射率,193 nm光源在空气中的折射率为1,在水中折射率为1.4,这也就意味着相同光源条件下,浸没式光刻机的分辨率可以提高1.4倍。  当时很多人认为浸没式技术难度太大,首先水可能会把镜头上的脏东西洗出来,影响工作效能 还有人担心水中的气泡、光线明暗等因素,会影响折射效果。林本坚也在着手攻克这些问题,比如用去离子水和其他手段,来保持水的洁净度和温度,使其不起气泡。  但理论归理论,能不能从实验室真正到工厂,还需要经验丰富的设备商一起开发。林本坚去美国、日本、德国、荷兰跑了一大圈,向光刻机厂商兜售浸没式光刻的想法。但是,绝大部分大厂都不买账。  不买账的原因除了这项技术走得太“鬼才”,还有不少想法需要验证之外,另一个原因就是改变的沉没成本太高。当时主流的研发思路,都是在157nm的干式光刻技术路径上。诸多公司已经耗费了大量财力、人力、物力,如果用这种“加水”的想法,各个研究团队就得全部重新开始,推翻原有的大部分设计。  所以巨头们对林本坚的态度,不仅仅是不理睬,而是封杀。尼康甚至向台积电施压,要求雪藏林本坚。在现实利益面前,这样的事情还发生过很多,比如柯达其实是最早研发出数码相机的公司,但缺乏自我颠覆的勇气,因为恐惧它威胁到自己的胶片业务,反而是雪藏了数码相机。  终于当林本坚跑到了荷兰时,ASML愿意做第一个吃螃蟹的勇士。虽然ASML也是从干式光刻机起家,但它想通过赛马制来赌一把,既然尼康、佳能都在死磕干式法157nm光源,且进展不顺利,那这支“奇兵”的意义就是巨大的。  最终浸润式成功了。2003年,ASML和台积电合作研发的首台浸没式光刻设备——TWINSCAN XT:1150i出炉,第二年又出了改进版。同年,研发进度拖慢的尼康,终于宣布了157nm的干式光刻机产品样机出炉。  但此时胜负已定,一面是用原来193nm光源但通过水进化到132nm波长的新技术,一面是157nm波长的样机,浸润式技术的优势不言而喻,这一技术成为此后65、45和32nm制程的主流,推动摩尔定律往前跃进了三代。  颠覆式创新的毁灭力也是巨大的。尼康、佳能由于对技术路径的判断失误,不仅意味着几百亿研发资金打了水漂,更是在与ASML的竞争中彻底落败。在2000年之前的16年里,ASML虽然跻身第一梯队,但是第一梯队里最小的玩家,占据的市场份额不足10%。  但自浸没式技术出现后,一路摧枯拉朽,全面碾压昔日巨头尼康、佳能,2008年市场占比超过60%。整个日本的半导体厂商,以及IBM等巨头,也都迅速衰落。  4  合作才能走得更远  为了进一步巩固战果,ASML开始打造上下游利益共同体。  由于浸没式技术的独家性,ASML要求所有合作伙伴必须投资它,否则就不合作。Intel、三星、台积电等等都投资了ASML,大半个半导体行业成为了ASML一家的合作伙伴,形成了庞大的利益共同体,大家都绑在了一条船上。  值得注意的是,在研发浸没式光刻设备的同时,ASML还早期布局了EUV技术,可谓走一步看三步。中国现在买不到的EUV光刻机,就是这种最前沿的产物。  我们在前文提到,尼康开发干式157nm光源遭遇了困难,就是因为不停缩小光源波长越来越困难,浸没式光刻技术虽然通过水的折射率暂时领先,但在未来,也一样会面临需要不停缩小波长的问题。  极紫外光(EUV)就像曾经的浸没式技术一样,拥有另辟蹊径的潜力,因为它的光波长极小,可以创造出比传统光刻小得多的电路。从1990年代末开始,直到2017年推出第一台商用EUV机器,这个项目共耗资90亿美元。  EUV代表产生电路的极紫外光 图片来源:New York Times  资金只是一方面,EUV的量产并不是一家公司的能力,而是多方合作的共同结果。美国政府之所以对ASML拥有影响力,就是因为美国政府和美国科研力量,是开发中极其重要的一环。  早在1997年,英特尔认识到进一步缩小光源波长的困难,渴望通过EUV来另辟蹊径。英特尔说服了美国政府,组建了“EUV LLC”的组织,包含了商业力量和政府科研力量,例如摩托罗拉、AMD、英特尔等,还汇集了美国三大国家实验室,美国成员构成了主体。  在对外国成员的选择上,英特尔和白宫产生了分歧,英特尔想让在光刻机领域有实力的ASML和尼康入局,但白宫认为如此重要的先进技术研发不该有”外人”入局。  此时ASML展示出了惊人的技术前瞻性,一定要挤进EUV LLC,虽然这个组织的目标是为了论证EUV技术的可行性,而不是量产它。ASML强力游说,开出了很难拒绝的条件——由ASML出资在美国建工厂和研发中心,并保证55%的原材料都从美国采购。  几百名全球顶尖的研发人员,经过了6年时间,终于论证了EUV的可行性,于是EUV LLC的使命完成,于2003年解散,各个成员踏上独自研发之路。  此时的ASML刚在浸润式技术上奇兵致胜,然后就立即投入到EUV的研发中。ASML每年将营业收入的15%用于研发,比如2017年的研发费用就高达97亿人民币。越投入技术越强,竞争对手都逐渐跟不上了。  EUV的技术难度非常高,在先进的EUV光刻机中,为了产生波长13.5nm超短波长的光,需要持续用20kw的激光轰击从空中掉落的金属锡液滴,液滴直径只有20微米,而且同一个液滴需要极端时间内连续轰击两次,第一次冲击是将它们压平,第二次冲击是将它们汽化,才能产生足够强度的极紫外光。为了保证光的持续性,每秒要轰击5万次。  EUV光刻机被誉为人类制造的最复杂机器之一,各个环节的高度专业性也汇集了全球的尖端产业,其中要用到来自德国的反射镜,以及在圣地亚哥开发的硬件,这种硬件通过用激光喷射锡滴来产生光,重要化学品和元件则来自日本。ASML还于2012年收购了顶级光源企业Cymer。  EUV光刻机绝对是人类制造的最精密复杂的设备之一  运输该机器需要40个集装箱、20辆卡车和三架波音747飞机 图片来源:New York Times  ASML其实是一个集大成者(集成商),也是全球化的受益者。ASML 90%的零部件来自于外购,再由最理解客户需求和产业发展趋势的ASML集成。ASML的背后是美国、日本、欧洲、中国台湾、韩国的技术支撑,最终才能量产出极度复杂的EUV光刻机。  这就是尖端供应链全球化的典型例子,如果中国想在芯片领域取得大幅进步,那就不得不面对一个由多方构成、缺一不可的全球尖端供应链。  早在ASML成立最初的几个月里,就确定了它合作的基因。ASML只进行研发和组装,并不什么都由自己制造。这种理念在1984年是十分超前的,因为当时欧洲流行的信念是“你最好什么都自己做才能控制一切”,当时很多人都认为ASML疯了:“培养合作伙伴与把钥匙交给别人是同一种意思,这是在自找麻烦,你会完全失去控制权。”  但事实证明合作才能走得更远。  最后,我想回到文章开头那个小故事:当海湾战争让IBM的高管无法前来面谈,完全打乱了ASML的计划,令它处于破产边缘时,ASML是如何破局的?  ASML高价雇佣了一个视频摄制组,花了一天时间,把原计划要向IBM展示的全部内容拍了下来,那天晚上,PAS 2500的项目经理理查德乔治,带着录像磁带和一位视频编辑在工作室里通宵剪辑影片。  第二天早上,当时的ASML CEO马里斯带队,手里拿着宝贵的录像带,飞到了IBM。评审会获得了空前成功,IBM的人看录像时吃惊得差点从椅子上摔了下来,他们从来没有见过这样先进的设备,整个房间里的人都十分激动。  如果你仔细翻阅ASML的发展史,你会看到无数个处于绝境的时刻,以及无数个绝境逢生的时刻,是很难,但总有办法。
  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • ASML持续布局中国,又一台光刻机进入中国工厂
    据重庆两江新区消息,12月27日,锐石创芯滤波器生产基地项目一期首台ASML光刻机入厂。锐石创芯是一家专注于高性能的4G/5G射频前端产品的研发及销售的公司,其产品具备行业领先的性能和品质,主要应用于智能手机、物联网终端、平板电脑。锐石创芯MEMS器件生产基地新建项目(一期)总占地面积120亩,总投资22亿,将建设4G/5G用MEMS滤波器芯片生产基地和封装测试生产基地,极大提高两江新区及重庆在集成电路设计和制造领域整体实力。除了锐石创芯,今年搬入ASML光刻机的中国厂还有鼎泰匠芯、格科微等。今年年初,格科微12英寸CIS集成电路半导体项目正式搬入ASML先进ArF光刻机设备;11月,位于上海临港新片区的鼎泰匠芯洁净室正式交付,还搬入了首台ASML光刻机。ASML:一如既往地投入和支持中国市场光刻机是半导体芯片的核心生产部件,是芯片制造流程过程中非常重要的一项核心设备,被称为人类工业皇冠上的“明珠”。全球光刻机行业的大佬有荷兰的ASML、日本佳能和尼康等,在国产化的浪潮下,如上海微电子、北京华卓精科等中国厂商不断崛起。ASML是芯片上游产业链极其重要的设备供应商,也是全球最大的光刻机制造商,可提供包括光刻机台、计算光刻和电子束量测在内的全方位光刻解决方案。1988年,ASML用第一台步进式光刻机迈入中国市场,如今该公司在中国的总装机量已超过1000台。目前全球各地的晶圆制造厂都要依赖ASML的中高端光刻机。数据显示,2021年,ASML在中国大陆的营业额达27.4亿欧元,占公司当年总营收的14.7%,成为ASML的第三大市场。据海关统计,2021年中国进口集成电路6355亿个,同比增长16.9%,而进口集成电路的金额约4400亿美元(2.8万亿),同比增长25.6%左右。比同年中国进口的石油和大豆加起来都多。从2018年开始,中国进口芯片的金额超过了3000亿美元,到2021年时,已经达到了4400亿美元(2.8万亿)左右,芯片更是成为2021年中国第一大进口商品。可见,中国对进口芯片的需求依然不减。尽管当前的国际形势变化多端,面对全球最大的半导体设备市场,ASML全球高级副总裁、中国区总裁沈波仍表示,中国对全球半导体产业非常重要,ASML对中国在整个半导体产业里扮演的角色也非常坚定,公司将一如既往地投入和支持中国市场。
  • 大族激光:光刻机已实现小批量销售
    2022年4月18日,大族激光科技产业集团股份有限公司召开业绩说明会,参会人员为通过线上交流平台参与公司 2021 年度业绩网上说明会的投资者。说明会上,大族激光针对目前公司光刻机研发进度和分辨率问题进行回复并表示,公司光刻机项目分辨率 3-5μm,主要聚焦在分立器件、LED 等领域的应用,已实现小批量销售。针对大族激光在半导体领域目前的规划,光刻机新的进展,以及下一步在半导体领域是否和华为展开合作等问题,大族激光表示,得益于 Mini-Led 对行业设备需求的带动和公司市场占有率的持续提升,公司半导体及泛半导体行业晶圆加工设备快速增长,实现营业收入 6.69 亿元,同比增长 140.62%。其中,LED 行业晶圆加工设备实现营业收入 4.78 亿元,同比增长 115.46%,保持市场领导地位,Mini-Led 切割、裂片、剥离、修复等设备实现大批量销售,Micro-LED巨量转移设备正在验证过程中;半导体行业晶圆加工设备实现营业收入 1.91 亿元,同比增长 239.96%,半导体激光开槽、半导体激光解键合、化合物半导体激光切割等产品实现批量销售。公司封测设备业务主体大族封测保持良好发展趋势,营业收入同比增长约 128%。公司光刻机产品主要用于分立器件领域,最新产品接近式光刻机样机已经开发完成。目前,公司在半导体领域暂未和华为展开合作。
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • 湖南省首台光刻机正式下线投产
    起步即冲刺,1月6日上午,总投资2亿元的源卓光电项目投产仪式在西洞庭工业园区举行。西洞庭管理区党委书记刘爱军,常德财鑫金融控股集团董事长余俞,区党委副书记、管委会主任胡晓明,区党委委员、管委会副主任郑涵文,源卓微电子装备(常德)有限公司董事长张雷出席投产仪式并共同按下启动键,标志着湖南省首台光刻机下线投产。刘爱军、余俞一行在企业参观仪式现场区党委副书记、管委会主任胡晓明致辞据了解,源卓微电子装备(常德)有限公司成立于2021年1月,此次投资2亿元的源卓光电项目,主要建设2条数字步进扫描光刻机和丝网直接制版机生产线。2022年该公司预计生产光刻机150台,年产值1.5亿元,综合纳税额近千万。该项目的成功投产必将为推动西洞庭园区高质量发展写上浓墨重彩的一笔。胡晓明在仪式上致辞。他说,近年来,我区认真贯彻落实省委“三高四新”战略定位和使命任务,紧紧围绕市委“招大培强”工作要求,通过开展区领导、部门“四联”企业活动,切实解决企业难题,全力建设“五好”园区。2021年,全区规模工业总产值达115亿元,规模工业增加值达20.5亿元。全年共引进亿元以上产业项目14个,引资总额28.7亿元。其中,新开工亿元以上产业项目5个,新投产亿元以上产业项目4个。胡晓明说,源卓光电项目的成功引进对我区补链强链、推动园区晋位升级、实现高质量发展具有十分重要的意义。接下来,西洞庭各级各部门将一如既往地秉持“尊重企业家、厚待投资者”的理念,诚信兑现各项惠企政策,全力提供最优服务、最好平台,营造优良的营商环境,助力企业做大做强,推动我区经济社会高质量发展。投产仪式前,刘爱军、余俞等一行走进企业车间了解产品制造流程、科技创新、生产能力等情况。
  • 晶瑞股份ArF浸没式光刻机顺利搬入实验室
    2021年1月19日,晶瑞股份ArF浸没式光刻机顺利搬入实验室。公司核心管理层、光刻胶核心团队、业务伙伴代表及媒体代表参加了搬入庆祝仪式。为开展集成电路制造用高端光刻胶研发项目,晶瑞股份于2020年10月顺利购得ASML XT 1900 Gi型浸入式光刻机一台,可用于研发最高分辨率达28nm的高端光刻胶。该设备于2020年11月19日从原厂断电停机,于2021年1月19日运抵苏州并成功搬入公司高端光刻胶研发实验室。晶瑞团队对内目标一致、上下同欲,对外多方协商、积极运作,历时短短2个多月完成了光刻机的顺利搬入,充分体现了晶瑞团队的凝聚力和高效执行力。目前公司完成中试的KrF光刻胶已进入客户测试阶段,达到0.15μm的分辨率。本次光刻机的顺利入驻可以保障公司集成电路制造用高端光刻胶研发项目关键设备的技术先进性,对加快产品研发项目进度有积极影响,有助于公司将光刻胶产品序列实现到 ArF 光刻胶的跨越,并最终实现应用于 12 英寸芯片制造的战略布局。有利于进一步提升公司光刻胶产品的核心竞争力,对于提高公司可持续发展能力具有重大意义。
  • ASML最先进的光刻机,花落谁家?
    4月上旬,全球光刻机龙头企业ASML发布了其最新一代极紫外线(EUV)光刻设备Twinscan NXE:3800E,该工具投影透镜拥有0.33的数值孔径,旨在满足未来几年对于尖端技术芯片的制造需求,包括3nm、2nm等小尺寸节点。ASML还计划进一步推出另一代低数值孔径(EUV)扫描仪Twinscan NXE:4000F,预计将于2026年左右发布。近日,据外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽,据悉,英特尔在宣布重新进入芯片代工业务时抢先购买了这些设备。由于ASML的高数值孔径EUV设备产能每年约为五至六台,三星等其他大厂或需要2025下半年后才能获得设备。ASML方面则计划未来几年要改善产能,年产能增加至20台。据悉,ASML的高数值孔径EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元(当前约26.47亿元人民币)。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好,行业消息显示,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。自2017年ASML的第一台量产的EUV光刻机正式推出以来,三星的7nm、5nm、3nm工艺,台积电的第二代7nm、5nm、3nm工艺的量产都是依赖于0.33数值孔径的EUV光刻机来进行生产。随着三星、台积电、英特尔3nm制程芯片的相继量产,目前这三大先进制程制造厂商都在积极投资2nm制程的研发,以满足未来高性能计算(HPC)等先进芯片需求,并在晶圆代工市场的竞争当中取得优势。英特尔方面,自2021年起就提出了IDM2.0战略。目前其还处于高资本支出投入期,各地投资扩产计划相继开出,并且先进制程研发投入加速推进。目前晶圆代工部门还处于亏损阶段。财报显示,英特尔晶圆代工业务去年的营业亏损较2022年扩大34.6%至70亿美元,营收同比下降31.2%至189亿美元。当时英特尔预计,代工业务的营业亏损会在今年达到峰值,2027年左右实现盈亏平衡。今年一季度英特尔代工业务实现营收44亿美元,同比下滑10%,营业亏损25亿美元。扩产方面,2023年以来,英特尔相继公布了在美国、欧洲和以色列兴建半导体制造工厂的计划,在各地政府的纷纷补助下,总投资金额高达千亿美元。制程推进方面,英特尔即将完成“四年五个制程节点”计划,其中Intel 7,Intel 4和Intel 3已实现大规模量产。这样来看,英特尔或许能在未来斩获更多订单。三星在光刻机获得方面亦早有计划。今年一月,ASML韩国公司总裁Lee Woo-kyung透露,期待2027年带来三星电子和ASML的合资企业新研发中心的高数值孔径 (NA) 极紫外 (EUV) 设备。据悉,这个新的半导体研究中心是韩国总统尹锡悦去年对荷兰进行国事访问期间组建的半导体联盟的成果,三星电子和荷兰设备公司ASML共同投资1万亿韩元在韩国建立该中心。该设施将成为 ASML 和三星电子工程师使用 EUV 设备进行先进半导体研发合作的场所。该中心建于京畿道华城市ASML新园区前,将配备能够实施亚2纳米工艺的先进高数值孔径EUV光刻设备。Lee Woo-kyung表示,已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设。计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。另外,据三星官方消息,近期,三星执行董事长李在镕(Jay Y. Lee) 访问位于奥伯科亨 (Oberkochen) 的全球光学和光电子技术集团总部当时,会见了蔡司公司总裁兼总裁Karl Lamprecht以及其他公司高管,以深化与蔡司集团在下一代EUV和芯片技术方面的合作。会上,双方同意扩大EUV技术和尖端半导体设备研发方面的合作伙伴关系,以增强双方的合作关系在代工和存储芯片领域的业务竞争。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据悉,三星电子的目标是引领3纳米以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • ASML先进ArF光刻机正式入驻格科半导体
    目前,格科微有限公司“12英寸CIS集成电路特色工艺研发与产业化项目”推进迅速。厂房和洁净车间建设已经基本完成,并于2022年2月16日开始主设备的搬入安装。在3月24日,格科半导体再次迎来了建厂重要标志性时刻,整套生产线中的最关键设备——ASML先进ArF光刻机成功搬入。2022年3月24日上午10:18,在严格遵守防疫政策并做好疫情防控措施的情况下,格科微顺利举办ASML先进ArF光刻机搬入仪式。格科半导体SVP李朝勇、各部门总监、光刻团队及厂务团队出席仪式,共同见证了公司这一里程碑式的重要时刻。ArF光刻机的成功引入,是格科微“12英寸CIS集成电路特色工艺研发与产业化项目”建设的关键节点。依托自有工厂即格科半导体的先进制程,格科微将进一步加快先进CIS工艺和高阶专利像素的研发速度,并在自有工厂实现批量生产验证,从而极大缩短高端产品从研发到大量供应市场的周期。格科微将坚持从Fabless向Fablite转变的经营路线,通过自有工厂为特色工艺的快速研发提供强有力的支持,以不断创新的电路设计、更短的研发周期、更高效的运营体系为客户提供全球一流的图像传感器产品,让世界看到中国的创新。
  • 下一代EUV光刻机什么样?ASML来解答
    近日,ASML发布了2022年第四季度及全年财报,并且披露了未来的技术发展路线,其中ASML在下一代EUV光刻机——High NA EUV光刻机方面的进展值得关注。ASML财报显示,2022年第四季度,ASML实现净销售额64亿欧元(当前约471.68亿元人民币),毛利率为51.5%,净利润达18亿欧元(当前约132.66亿元人民币);季度净预订量为63亿欧元(当前约464.31亿元人民币),其中34亿欧元(当前约250.58亿元人民币)为EUV。从2022年整年看,ASML实现净销售额212亿欧元(当前约1562.44亿元人民币),毛利率为50.5%,净利润达56亿欧元(当前约412.72亿元人民币)。据悉,2022年ASML实现了不少重要进展,例如在DUV方面,他们交付了NXT KrF 系统的首台设备TWINSCAN NXT:870和第一台TWINSCAN NXT:2100i。目前,ASML还在继续研究开发下一代EUV光刻机——High NA EUV光刻机。按照ASML所说,在历经六年的研发后,他们在2022年收到了供应商提供的第一个高数值孔径机械投影光学器件和照明器(illuminator)以及新的晶圆载物台(wafer stage)。这些模块将用于EXE:5000的初始测试和集成,是其中的一个重要的步骤。ASML表示,2022年公司收到了所有现有EUV客户的采购订单,要求交付业界首个TWINSCAN EXE:5200系统——具有High-NA和每小时220片晶圆生产率的EUV大批量生产系统。关于未来的EUV光刻机发展路径,ASML首席技术官Martin van den Brink在财报中透露,他认为Hyper-NA EUV有望在这个十年结束后成为现实,即客户将在2024到2025间在其上面进行研发,并有望在2025到2026年间进行大规模量产。据悉,High-NA光刻机可以在关键层上做更小的CD。据悉,High-NA光刻机可以在关键层上做更小的CD,但是现在在开发商也面临着巨大的挑战。“开发High-NA技术的最大挑战是为EUV光学器件构建计量工具。High-NA反射镜的尺寸是前一代产品的两倍,并且需要在20皮米内保持平坦。要实现这些目的,需要在一个大到‘你可以在其中容纳半个公司’的真空容器中进行验证。”Martin van den Brink说。
  • 芯源微:前道涂胶显影机可与ASML等光刻机联机应用
    近日,芯源微披露投资者关系活动记录表指出,公司前道涂胶显影机与国际光刻机联机的技术问题已经攻克并通过验证,可以与包括ASML、佳能等国际品牌以及国内的上海微电子(SMEE)的光刻机联机应用。芯源微表示,涂胶显影机在Iline、KrF、向ArF等技术升级的过程中,主要技术难点在于涂胶显影机结构复杂,运行部件多。研发升级在技术上有很大的跨度,主要体现在颗粒污染物的控制方面,例如烘烤精 度、多腔体的一致性及均匀性、不同光刻胶的涂胶显影工艺精 细化控制,以及设备整体颗粒污染物控制等。据悉,当前,全球半导体设备市场的主要份额基本被国外厂商占据,如美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等,为了突破这一卡脖子技术,近年来,国产半导体企业亦在奋力追赶,希望尽早实现国产替代。资料显示,芯源微成立于2002年,是由中科院沈阳自动化研究所发起创建的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务。图片来源:芯源微公告芯源微产品广泛应用于半导体生产、高端封装、MEMS、LED、OLED、3D-IC TSV、PV等领域,产品包括光刻工序涂胶显影设备和单片式湿法设备,可用于8/12英寸单晶圆处理及6英寸及以下单晶圆处理。目前,芯源微的主要客户包括中芯国际、华力微电子、长江存储、台积电、华为、上海积塔、株洲中车、青岛芯恩、长电科技、通富微电、华天科技、晶方科技、华灿光电、乾照光电、澳洋顺昌等半导体知名厂商。作为芯源微的标杆产品,光刻工序涂胶显影设备成功打破国外厂商垄断并填补国内空白,其中,在集成电路前道晶圆加工环节,作为国产化设备已逐步得到验证,实现小批量替代;在集成电路制造后道先进封装、化合物、MEMS、LED 芯片制造等环节,作为国内厂商主流机型已广泛应用在国内知名大厂,成功实现进口替代。新华社此前报道,芯源微产品在匀胶显影技术领域居国内第一,达到国际先进水平。芯源微在记录表指出,公司现有的厂区已经是满负荷运转,同时新厂房也在建设当中,按照计划将于2021年4季度投入使用,届时对公司产能提升会起到非常大的作用。
  • TCL华星“光刻机及电路基板的制备方法”专利公布
    国知局消息显示,TCL华星光电技术有限公司“光刻机及电路基板的制备方法”专利公布,申请公布日为6月15日,申请公布号为CN116243564A。图片来源:国知局专利摘要显示,本申请实施例公开了一种光刻机,本申请实施例的光刻机采用在掩模板设置位(第二设置位)的出光侧设置投影透镜组,投影透镜组包括第一透镜单元和第二透镜单元,所述第一透镜单元对入射光线的收敛角度大于所述第二透镜单元对所述入射光线的收敛角度。采用投影透镜组对掩模板上的图案进行光线收敛,进而在基板上形成比掩模板上的图案更小的图案,进而达到采用常规掩模板实现精密制程的效果。另外,本实施例采用第一透镜单元用于形成集成电路,第二透镜单元用于形成非集成电路,提高了制程的工作效率以及制程的适应性。据悉,本申请实施例提供一种光刻机,可以减低掩模板的制作难度,同时可以实现更精密的集成电路制作。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制