当前位置: 仪器信息网 > 行业主题 > >

半导体专用检测仪器设备

仪器信息网半导体专用检测仪器设备专题为您提供2024年最新半导体专用检测仪器设备价格报价、厂家品牌的相关信息, 包括半导体专用检测仪器设备参数、型号等,不管是国产,还是进口品牌的半导体专用检测仪器设备您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体专用检测仪器设备相关的耗材配件、试剂标物,还有半导体专用检测仪器设备相关的最新资讯、资料,以及半导体专用检测仪器设备相关的解决方案。

半导体专用检测仪器设备相关的资讯

  • 半导体杂质检测难?半导体专用ICP-MS来帮你!
    对Fab工厂而言,控制晶圆、电子化学品、电子特气和靶材等原材料中的无机元素杂质含量至关重要,即便是超痕量的杂质都有可能造成器件缺陷。然而半导体杂质含量通常在ppt级,ICP-MS分析时用到的氩气及样品基体都很容易产生多原子离子干扰,标准模式、碰撞模式下很难在高本底干扰的情况下分析痕量的目标元素。珀金埃尔默NexION系列半导体专用ICP-MS,凭借其独特的以动态反应池技术为基础的UCT(通用池)技术,既能实现标准模式、碰撞模式,也可以通过反应模式消除干扰,从根本上成功解决了多原子干扰的技术难题。晶圆中的金属杂质分析(UCT-ICP-MS)晶圆等半导体材料中的主要成分是硅。高硅基体的样品在传统的冷等离子体条件下分析,其中的耐高温元素硅极易形成氧化物。这些氧化物沉积在锥口表面后,会造成明显的信号漂移。NexION系列半导体专用ICP-MS在高硅基体的样品分析中采用强劲的高温等离子体,大大降低了信号漂移。通过通入纯氨气作为反应气,在DRC 模式下,有效消除了40Ar+ 对40Ca+、40Ar19F+ 对59Co+、40Ar16O+ 对56Fe+ 等的干扰。通过调节动态带通调谐参数消除不希望生成的反应副产物,克服了过去冷等离子体的局限,有效去除多原子离子的干扰。在实际检测中实现了10 ng/L 等级的精确定量,同时表现出良好的长期稳定性。基质耐受性:Si 基质浓度为100ppm 到5000ppm 样品100ppt 加标回收稳定性:连续进样分析多元素加标浓度为100ppt 的硅样品溶液(硅浓度为2000ppm)《NexION 300S ICP-MS 测定硅晶片中的杂质》NexION ICP-MS 测定半导体级盐酸中的金属杂质在半导体设备的生产过程中,许多流程中都要用到各种酸类试剂。其中最重要的是盐酸(HCl),其主要用途是与过氧化氢和水配制成混合物用来清洁硅晶片的表面。由于半导体设备尺寸不断缩小,其生产中使用的试剂纯度变得越来越重要。ICP-MS具备精确测定纳克/升(ng/L,ppt)甚至更低浓度元素含量的能力,是最适合测量痕量及超痕量金属的技术。然而,常规的测定条件下,氩、氧、氢离子会与酸基体相结合,对待测元素产生多原子离子干扰。如,对V+(51) 进行检测时去除 ClO+ 的干扰。虽然在常规条件下氨气与ClO+ 的反应很迅速,但如果需要使反应完全、干扰被去除干净,则需要在通用池内使用纯氨气。NexION系列半导体专用ICP-MS的通用池为四级杆,具备精准可控的质量筛选功能,可以调节RPq 参数以控制化学反应,防止形成新的干扰,有效应对使用高活性反应气体的应用。20% HCl 中各元素的检出限、背景等效浓度、10 ng/L 的加标回收率20% HCl 中典型元素ppt 水平标准曲线20% HCl 中加标50 ng/L 待测元素,连续分析10 小时的稳定性《利用NexION 2000 ICP-MS 对半导体级盐酸中的杂质分析》电子特气直接进样分析技术(GDI-ICP-MS)半导体所使用的特殊气体分析传统方法有两种:一种是使用酸溶液或纯水对气体进行鼓泡法吸收,然后导入ICP-MS进行分析;另一种是使用滤膜对气体中颗粒物进行收集,然后对滤膜消解后上机。然而无论是鼓泡法吸收还是滤膜过滤收集、消解,都存在样品制备过程容易被污染、鼓泡时间难以确定、不同元素在酸中溶解度不一样等各种问题,分析结果的可靠性和重现性都难以保证。GDI-ICP-MS系统可以将气体直接导入到等离子中进行激发,避免了额外的前处理步骤,具有方便、高效、不容易受污染等特点,从根本上解决传统方法的一系列问题。GDI-ICPMS气体直接进样技术GDI-ICPMS 直接定量分析气体中金属杂质GDI-ICP-MS法绘制的校准曲线(标准气体产生方式:在氩气中雾化标准溶液,这些标气对所有待测元素的线性都在0.9999以上)《使用气体扩散和置换反应直接分析气体中金属杂质》半导体有机试剂中纳米颗粒的分析(Single particle-ICP-MS)单颗粒ICP-MS(SP-ICP-MS)技术已成为纳米颗粒分析的一种常规手段,采用不同的进样系统,能在100~1000 颗粒数每毫升的极低浓度下对纳米颗粒进行检测、计数和表征。除了颗粒信息,单颗粒ICP-MS 还可以在未经前级分离的情况下检测溶解态元素浓度,可检测到ppb级含量的纳米颗粒,实现TEM、DLS等纳米粒径表征技术无法完成的痕量检测。用ICP-MS分析铁离子(56Fe+)时会受到氩气产生的40Ar16O+的严重干扰。利用纯氨气作反应气的动态反应池技术是消除40Ar16O+对铁离子最高丰度同位素56Fe+干扰最有效的途径,而只有对56Fe+的分析才能获得含铁纳米颗粒分析最低的检出限。90% 环己烷/10% 丙二醇甲醚混合液测定图谱,有含铁纳米颗粒检出TMAH 中含铁纳米颗粒结果图谱:(a)粒径分布;(b)单个含铁纳米颗粒实时信号TMAH 中含铁纳米颗粒粒径和浓度由Fe(OH)2 到总铁的质量换算《利用单颗粒ICP-MS在反应模式下测定半导体有机溶剂中的含铁纳米颗粒 》SP-ICP-MS技术测定化学-机械整平(CMP)中使用的元素氧化物纳米颗粒悬浮物的特性氧化铝和氧化铈纳米颗粒常用于纳米电子学和半导体制造行业中化学-机械 (CMP)半导体表面的平整。CMP悬浮物纳米粒子的尺寸分布特征以及大颗粒的辨别,是光刻过程质量控制的重要方面,会影响到硅晶片的质量。既可以测量可溶分析物浓度、又能测定单个纳米粒子的单颗粒模式ICP-MS(SP-ICP-MS)是分析金属纳米粒子的最有前途的技术。SP-ICP-MS技术具有高灵敏度、易操作、分析速度快的特点,纳米粒子引入等离子体中被完全电离,随后离子被质谱仪检测,信号强度与颗粒尺寸有关。因此SP-ICP-MS可为用户提供颗粒浓度(颗/mL),尺寸大小和尺寸分布。为确保一次只检测一个单颗粒,必须稀释样品以实现分辨的目的。这就要求质谱仪必须能够有很快的测量速度,以确保能够检测到在50nm纳米颗粒的瞬时信号(该信号变化的平均时间为300~500μs)。珀金埃尔默NexION系列半导体专用ICP-MS单颗粒操作模式能够采集连续数据,无需设置定位时间,每秒钟获取高达100 000个数据点。结合纳米颗粒分析软件模块,可以实现单颗粒纳米颗粒的准确分析。采集数据比瞬时信号更快的纳米信号积分图悬浮物1~4归一化颗粒尺寸分布频次图《使用单颗粒电感耦合等离子体质谱法(SP-ICP-MS)分析CeO2 化学机械抛光化浆料》On-line ICP-OES 在线监控磷酸中的硅含量在最新的立式3D NAND 闪存的生产工艺中,需要使用磷酸进行湿法刻蚀。在生产过程中,必须监控这种特殊的、高选择性氮化的磷酸中硅的含量,以控制工艺质量。当磷酸中硅含量发生改变时,必须排空并更换磷酸。在线ICP-OES技术响应迅速,可实现7天*24小时不间断检测,是最适合磷酸中硅含量监控的方法。而Avio500 紧凑的体积非常适合空间有限的Fab 厂;垂直炬管配合独特的切割尾焰技术,不需要任何维护也能获得最佳的数据稳定性。在线监控系统可实现:自动配制校准曲线7天*24小时全自动运行质控功能(超出线性范围则重新校准)可同时监控5个模块(多达20个采样点)允许ICP-OES在线或离线分析间切换点击链接获取文中提到的解决方案和更多半导体相关资料:http://e86.me/4qfk7N关于珀金埃尔默:珀金埃尔默致力于为创建更健康的世界而持续创新。我们为诊断、生命科学、食品及应用市场推出独特的解决方案,助力科学家、研究人员和临床医生解决最棘手的科学和医疗难题。凭借深厚的市场了解和技术专长,我们助力客户更早地获得更准确的洞见。在全球,我们拥有12500名专业技术人员,服务于150多个国家,时刻专注于帮助客户打造更健康的家庭,改善人类生活质量。2018年,珀金埃尔默年营收达到约28亿美元,为标准普尔500指数中的一员,纽交所上市代号1-877-PKI-NYSE。了解更多有关珀金埃尔默的信息,请访问www.perkinelmer.com.cn。
  • 劲拓股份2021年实现营收9.89亿元,多款半导体专用设备进入市场
    4月23日,深圳市劲拓自动化设备股份有限公司公布了2021年度财报。财报信息显示,劲拓股份报告期内实现营业收入约9.89亿元,同比上年增加约1亿元,主要原因为:(1)报告期内,受益行业较高景气度及国内产业链、供应链自主可控的需求趋势,公司电子热工设备、检测设备、自动化设备合计实现营业收入约8.15亿元,同比上年增长约18%,收入规模再创新高。 (2)报告期内,公司加大前沿技术投入,进行相关国产高端电子热工设备、国产半导体设备和国产光电设备的研发和市场开拓,少部分本期验收产品贡献了部分销售收入。此外,报告期内归属于上市公司股东的净利润约8,000万元,同比下降约4,280万元,主要原因为: (1)报告期内,原劲彤投资控股子公司精创业绩亏损,导致公司合并报表业绩减少约1,650万元,该公司偿债能力变弱,导致公司应收款项全额计提坏账准备约1,000万元,以上因素综合影响本期归属于上市公司股东的净利润减少约2,650万元。劲彤投资已完成了其所持精创全部股权的处置,期末不持有精创股权。(2)报告期内,2021年全年计提的股份支付费用较2020年10月实施的员工持股计划计提的股份支付费用增加约1,370万元,导致净利润相应减少。(3)报告期内于本年完工的新厂装修的在建工程转计入固定资产,折旧费用同比上年增加约1,070万元,导致净利润相应减少。 (4)报告期内,部分上游原材料价格上涨导致生产成本承压,加之公司与部分客户(含头部国产面板厂商、头部国产手机厂商)签订的毛利较低的战略性订单,在本期验收,拉低了当期毛利。(5)报告期内,公司为聚焦主业、腾挪发展空间,清理业务条线,打折销售了部分库存设备,对本期毛利率也产生了一定影响。2021年度财报此外,劲拓股份也表示,截至本报告披露日,已有多款半导体专用设备进入市场。
  • 国内最大半导体专用气体纯化设备研发生产基地于大连开工
    近日,大连华邦研发生产基地在大连高新区正式开工建设。该项目将建设成为全国最大的半导体专用设备-气体纯化设备研发生产基地,进一步解决气体纯化技术“卡脖子”问题,为国内芯片制造产业保驾护航。据介绍,大连高新区今年以来围绕国家自主创新示范区建设,不断推动区域产业升级,加快科技创新,加快推进原创性、引领性科技攻关,谋划推动更多科技成果产业化项目落地建设。华邦化学是国内气体纯化设备的龙头企业,由中科院大连化学物理研究所专家联合创办,先后获评国家专精特新“小巨人”企业、高新技术企业、辽宁省瞪羚企业。新建项目紧紧围绕集成电路产业链布局,将进一步打破国际垄断,扩大国内市场领军优势,开拓海外市场,助力我国半导体产业健康发展。开工奠基仪式(央广网发 马晓龙 摄)“企业不断发展壮大离不开大连高新区一流的营商环境,得益于大连高新区党工委、管委会的大力支持。” 华邦化学总经理侯鹏表示,作为行业龙头企业,公司于2013年在大连高新区成立,目前已具备国际一流技术水平,填补了半导体生产关键设备的国内空白,被列入大连市重点拟上市企业名录。随着项目建成投产,公司多年来针对半导体配套产业的诸多前瞻性科研成果将进一步加速转化。记者在开工仪式现场了解到,华邦化学研发生产基地总投资1亿元,占地面积1.25万平方米。项目建成后,将成为国内最大的集成电路专用设备-气体纯化设备生产研发基地,年产值可达到3亿元。该项目开工建设对于加快高新区产业结构调整、提高区域科技创新水平、推进大连市集成电路装备及材料产业集群建设将起到积极的促进作用。随着华邦化学研发生产基地开工建设,大连高新区重点项目建设进一步提质增速,目前,围绕英歌石科学城“1+X”科研方向,大连高新区启动了黄泥川半导体电子产业园、大华新材料创新中心、光芯片与高端光器件产业化项目等67个重点项目,总投资72亿元,四季度新开工项目达25个。
  • 2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛第一轮会议通知
    国家集成电路创新中心上海市仪器仪表行业协会财联社 复创芯2024中国检测技术与半导体应用大会---暨半导体分析检测仪器与设备发展论坛第一轮会议通知半导体产业的蓬勃发展对从事半导体分析检测仪器和设备的科研机构和企业提供了良好的发展机遇和更高的要求。把握这种机遇,满足这一要求,需要半导体应用及其分析检测领域的龙头高校科研院所、链主企业、供应链上企业、创新链上科研院所,使命担当、脚踏实地、合作共赢,创新产品,携手提高制程良率,提升材料、器件和芯片的可靠性、稳定性、一致性。为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行业协会和财联社主办,复旦大学光电研究研究院、复创芯和科创板日报等单位承办,中国上海测试中心、上海市集成电路行业协会等单协办的“2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”于2024年7月7-9日在上海虹桥举办。欢迎广大高校科研院所教师学生、业界专家、企业工程师、企业家报名参会。现将有关事项进一步通知如下:一、会议宗旨为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1、相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2、从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3、半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。二、会议主题1、集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件2、半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等3、半导体器件表征技术及其仪器,包括电学、光学、光电特性等4、半导体芯片表征技术及其设备,包括封装可靠性5、企业与科研院所产学研合作对接6、科研院所科研成果展示、发布三、参会人员1、利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;2、半导体材料和半导体前道和后道制造领域内的企业管理者和技术负责人;3、半导体检测仪器与设备企业管理者和技术负责人;4、半导体检测仪器与设备零部件制造企业的管理者和技术负责人。四、组织单位指导单位:中国技术创业协会、上海市经济和信息化委员会、上海市科学技术协会主办单位:国家集成电路创新中心、上海市仪器仪表行业协会、财联社承办单位:复旦大学光电研究院、上海复创芯半导体科技有限公司、科创板日报协办单位:中国上海测试中心、上海市集成电路行业协会、上海市真空学会、上海电子学会智能仪器与设备专委会、上海市在线检测与控制技术重点实验室、上海理工大学光电学院、上海大学特种光纤与光接入网重点实验室、求是缘半导体联盟、复旦大学校友总会集成电路行业分会支持媒体:仪器信息网、半导体行业联盟、上海真空学会官网、大同学吧、芯片揭秘支持期刊:半导体学报、自动化仪表 2024年4月15日
  • 2024中国检测技术与半导体应用大会——暨半导体分析检测仪器与设备发展论坛通知
    半导体产业的蓬勃发展对从事半导体分析检测仪器和设备的科研机构和企业提供了良好的发展机遇和更高的要求。把握这种机遇,满足这一要求,需要半导体应用及其分析检测领域的龙头高校科研院所、链主企业、供应链上企业、创新链上科研院所,使命担当、脚踏实地、合作共赢,创新产品,携手提高制程良率,提升材料、器件和芯片的可靠性、稳定性、一致性。为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行业协会和财联社主办,复旦大学光电研究研究院、复创芯和科创板日报等单位承办,中国上海测试中心、上海市集成电路行业协会等单协办的“2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”于2024年7月7-9日在上海虹桥举办。 本次会议旨在为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1.相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2.从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3.半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。会议主题包括:集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件;半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等;半导体器件表征技术及其仪器,包括电学、光学、光电特性等;半导体芯片表征技术及其设备,包括封装可靠性;企业与科研院所产学研合作对接;科研院所科研成果展示、发布。参会人员将有利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;半导体材料和半导体前道和后道制造领域内的企业管理者和技术负责人;半导体检测仪器与设备企业管理者和技术负责人;半导体检测仪器与设备零部件制造企业的管理者和技术负责人。长按识别二维码下载通知附件
  • 【第二轮通知】2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛
    为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行协会、财联社等主办,复旦大学光电研究院等协办的“2024 中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”第二轮通知正式发布。会议将在于7月11-13日在上海虹桥举办,欢迎广大专家学者和企业高管积极参会,企业参展交流。你将有机会与500位来自科研院所、芯片设计制造与封测企业、半导体分析检测仪器与设备企业的专家教授和企业高管,共同研判半导体检测技术的发展趋势,共同碰撞产学研合作火花,共同对接面向产业市场和科研市场的高质量合作机遇。一、会议宗旨为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1、相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2、从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3、半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。二、会议主题1、集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件2、半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等3、半导体器件表征技术及其仪器,包括电学、光学、光电特性等表征及相关仪器4、半导体芯片表征技术及其设备,包括功能、性能、封装可靠性等表征及相关设备5、企业上下游供应链对接,科创型企业知识产权布局和保护6、企业与科研院所产学研合作,科研院所科研成果展示和发布三、参会人员1、利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;2、芯片设计行业、半导体材料和半导体前后道制造领域的企业管理者和技术负责人;3、半导体分析检测仪器与设备业管理者和技术负责人;4、半导体分析检测仪器与设备零部件制造企业的管理者和技术负责人。四、组织单位指导单位:中国技术创业协会、上海市经济和信息化委员会、上海市科学技术协会、上海虹桥商务区管理委员会、上海市闵行区人民政府主办单位:国家集成电路创新中心、上海市仪器仪表行业协会、财联社承办单位:复旦大学光电研究院、上海复创芯半导体科技有限公司、科创板日报、上海南虹桥投资开发(集团)有限公司协办单位:中国上海测试中心、上海市集成电路行业协会、上海市真空学会、上海电子学会智能仪器与设备专委会、上海市在线检测与控制技术重点实验室、上海理工大学光电学院、上海大学特种光纤与光接入网重点实验室、求是缘半导体联盟、复旦大学校友总会集成电路行业分会、上海段和段律师事务所特别报道:《CMG数字中国》融媒体节目支持媒体:仪器信息网、半导体综研、半导体行业联盟、上海真空学会官网、大同学吧、芯片揭秘支持期刊:半导体学报、自动化仪表五、已确认参会的专家/企业(持续更新中)六、会议信息1、会议时间:2024年7月11日-13日2、会议日程:日期时间活动议程7月11日14:00-20:00大会报到、展台布置7月12日09:00-12:00大会报告-113:30-17:30分会报告、墙报18:00-19:30晚宴、颁奖7月13日08:30-12:00分会报告、技术培训13:30-17:00大会报告-2、论坛、人才交流3、报告主题:报告主题主题一集成电路晶圆级缺陷检测技术主题二半导体封装及缺陷检测技术主题三高分辨显微技术及半导体应用主题四薄膜制备及椭圆偏振测试技术主题五X射线检测技术及半导体应用主题六光谱技术应用于半导体材料检测主题七功率器件、芯片缺陷检测技术主题八射频芯片检测及分析技术主题九半导体器件可靠性及失效分析技术主题十芯片、微纳器件形貌、热探测技术主题十一半导体光电器件、芯片检测技术主题十二AI技术应用于半导体分析检测(备注:会议议程持续更新,以现场实际安排为准)4、会议地点会议规模:500人左右会议地点:上海虹桥 新华联索菲特大酒店具体地址:上海市闵行区泰虹路666号(直线距离虹桥火车站、虹桥2号航站楼3公里)七、注册费用及报名名称费用(元/人)2024年6月25日前缴费2024年6月25日后及现场缴费会议代表23002800学生代表15001800(备注:注册费用包含大会期间的餐费、会议资料及纪念品等,不包含住宿费用)请扫描二维码 立刻在线报名请参会人员于2024年6月25日前微信扫码登记或填写附件3“会议参会回执表八、论文摘要/企业参展赞助1、会议论文摘要(详见附件1"会议论文摘要模板”)2、本次会议及论坛的参展与赞助(详见附件2"会议赞助权益清单”)(附件下载,详见文末)九、报名及赞助联系方式会议Emait:kjyzy@fudan.edu.cn院校师生报名及论文投递联系人:刘老师 139 1828 3051企业报名及赞助咨询联系人:徐老师 135 8571 1280报名缴费及发票确认联系人:王老师 178 2179 68082024中国检测技术与半导体应用大会_会议论文摘要模板_附件1.doc2024中国检测技术与半导体应用大会_会议赞助权益清单_附件2.pdf2024中国检测技术与半导体应用大会_参会确认表_附件3.docx
  • 中国电子专用设备工业协会半导体设备年会:专家建言提高仪器设备水平
    记者15日从中国电子专用设备工业协会获悉,第11届中国电子专用设备工业协会半导体设备年会暨产业链合作论坛、第11届半导体设备材料与核心部件展示会(CSEAC)近日成功举行。  会上,中国电子专用设备工业协会副秘书长李晋湘表示,我国半导体设备厂商面临一些挑战,比如“设备齐全,但特殊工艺要求无法满足”,又如“设备和工艺虽然完善,但关键工艺尚不稳定”。  另有专家表示,中国半导体产业结构日渐优化,产业链逐步完善,形成相互促进、共同发展的局面。瞄准未来,还需进一步加强产业链配套能力,制定出科学合理的发展战略。  “仪器设备处于工业的核心地位,提高仪器设备水平,充分发挥其作用,才能促进半导体等产业发展,并走向世界前沿。”中国科学院院士褚君浩在作报告时说。  为此,本届大会设有展览展示会、主峰会论坛、专题论坛等环节。其中,专题论坛聚焦“制造工艺与设备产业联动发展”“化合物装备与材料发展”等议题。与会者通过学术研究、政策分析、市场研讨等方式,就产业未来发展建言献策。  据统计,截至8月11日17时,本届大会累计进场突破6.3万人次,百余家相关企业现场意向成交额达到26.5亿元人民币。  值得一提的是,本届CSEAC开设3个展览馆,展示面积超过2.8万平方米,近400家企事业单位参展,展示面积、参展数量均较上届大幅增加。参展企业覆盖半导体设备产业链各环节,与会者表示,参加展会为交流机遇、谋求合作提供了契机,让上下游企业的对接更为精准高效。  中国电子专用设备工业协会相关负责人表示,下一步将力求以更新的角色和更深度地市场服务方式,打造会议,为半导体设备产业搭建交流平台。
  • 【第三轮通知】2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛
    “2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”将以“大会报告+分会报告+产品展览+高校科技成果展示+学术墙报+晚宴交流”的形式召开,85个口头报告专家及20余个提供墙报的学者,分别来自于半导体检测领域知名科研院校、半导体制造企业、半导体检测企业等。届时,您将有机会与科研院校的课题组长、系主任、副院长、院长和学生等,产业界知名企业的董事长、总经理和高管等共同研判半导体检测技术发展趋势,共同碰撞产学研合作火花,共同对接面向产业市场和科研市场的高质量合作机遇。诚邀您报名注册参会!指导单位中国技术创业协会上海市经济和信息化委员会上海市科学技术协会上海虹桥商务区管理委员会上海市闵行区人民政府主办单位国家集成电路创新中心上海市仪器仪表行业协会财联社承办单位复旦大学光电研究院上海复创芯半导体科技有限公司科创板日报上海南虹桥投资开发(集团)有限公司上海段和段(虹桥国际中央商务区)律师事务所协办单位中国上海测试中心上海市集成电路行业协会上海市真空学会上海电子学会智能仪器与设备专委会上海市在线检测与控制技术重点实验室上海理工大学光电学院上海大学特种光纤与光接入网重点实验室昆山上理工光电信息应用技术研究院有限公司求是缘半导体联盟复旦大学校友总会集成电路行业分会长三角集成电路产业产教融合共同体南通市半导体产业协同创新联合体特别报道《CMG 数字中国》融媒体节目支持媒体仪器信息网半导体综研半导体行业联盟上海市真空学会官网大同学吧芯片揭秘支持期刊半导体学报自动化仪表会议日程参会单位(字母排序,滑动阅读)爱德万测试(中国)管理有限公司爱发科费恩斯(南京)仪器有限公司安徽华鑫微纳集成电路有限公司安徽见行科技有限公司安捷伦科技(中国)有限公司安世半导体科技(上海)有限公司昂图(上海)贸易有限公司八帆仪器设备(上海)有限公司百及纳米科技(上海)有限公司北京北方华创微电子装备有限公司北京航空航天大学北京华峰装备技术有限公司北京华卓精科科技股份有限公司北京振兴计量测试研究所北京中科米格实验室技术有限公司忱芯科技(上海)有限公司大恒新纪元科技股份有限公司东方晶源微电子科技(北京)股份有限公司福禄克测试仪器(上海)有限公司复旦大学复纳科学仪器(上海)有限公司盖泽华矽半导体科技(上海)有限公司光库智能科技(南阳)有限公司广东金鉴实验室科技有限公司国仪量子技术(合肥)股份有限公司哈尔滨工业大学海宁凯成私募基金管理有限公司杭州富加镓业科技有限公司杭州广立微电子股份有限公司杭州积海半导体有限公司杭州加速科技有限公司杭州镓仁半导体有限公司杭州谱育科技发展有限公司杭州银行杭州长川科技股份有限公司合肥御微半导体技术有限公司河南大学闳康技术检测(上海)有限公司华东师范大学华恒半导体设备(苏州)有限公司华中科技大学加野仪器(上海)有限公司江南大学江苏才道精密仪器有限公司江苏超敏仪器有限公司江苏帝奥微电子股份有限公司江苏集萃苏科思科技有限公司江苏捷捷微电子股份有限公司江苏迈纳德微纳技术有限公司江苏微导纳米科技股份有限公司江苏芯德半导体科技有限公司江苏友润微电子有限公司匠岭科技(上海)有限公司聚微(嘉兴)科技有限公司卡尔蔡司(上海)管理有限公司开源证券研究所柯泰光芯(常州)测试技术有限公司科学指南针堀场(中国)贸易有限公司昆山国力电子科技股份有限公司昆山上理工光电信息应用技术研究院有限公司昆山新锦宏智能装备科技有限公司量伙半导体设备(上海)有限公司聆思半导体技术(苏州)有限公司领先光学技术(江苏)有限公司马尔精密量仪(苏州)有限公司麦峤里(上海)半导体科技有限责任公司苏州镁伽科技有限公司魅杰光电科技(上海 )有限公司木王芯(苏州)半导体科技有限公司上海拿成智能科技有限公司纳瑞科技(北京)有限公司南昌航空大学南京宏泰半导体科技股份有限公司南通晶测半导体科技有限公司南通敏顺智能科技有限公司南通芯力电子科技有限公司宁波银行欧陆埃文思材料科技(上海)有限公司珀金埃尔默企业管理(上海)有限公司普源精电科技股份有限公司青岛大学日置(上海)测量技术有限公司荣旗工业科技(苏州)股份有限公司睿励科学仪器(上海)有限公司赛默飞世尔电子技术研发(上海)有限公司赛默飞世尔电子技术研发(上海)有限公司赛英特半导体技术(西安)有限公司厦门国际银行上海大宁支行厦门海恩迈科技有限公司厦门锐思捷水纯化技术有限公司上海爱柯锐科技有限公司上海邦芯半导体科技有限公司上海超越摩尔私募基金上海澈芯科技有限公司上海崇诚国际贸易有限公司上海点莘技术有限公司上海电子信息职业技术学院上海顶策科技股份有限公司上海段和段律师事务所上海复旦微电子集团股份有限公司上海复享光学股份有限公司上海概伦电子股份有限公司上海感图网络科技有限公司上海鸿舸技研科技有限公司上海华岭集成电路技术股份有限公司上海汇博检测设备有限公司上海积塔半导体有限公司上海集材汇智集成电路技术有限公司上海集成电路材料研究院有限公司上海季丰电子股份有限公司上海交通大学上海精测半导体技术有限公司上海玖钲机械设备有限公司上海科源电子科技有限公司上海理工大学上海麦湘自动化科技有限公司上海铭剑电子科技有限公司上海欧波同仪器有限公司上海拍频光电科技有限公司上海市科普教育展示技术中心上海泰成投资管理有限公司上海微崇半导体设备有限公司上海伟测半导体科技股份有限公司上海遥芷科技有限公司上海怡瑞投资管理咨询有限公司上海隐冠半导体技术有限公司上海赢朔电子科技股份有限公司上海优睿谱半导体设备有限公司上海育仪科技有限公司上海曌达测控科技有限公司上海喆塔信息科技有限公司上海智湖信息技术有限公司上海众濒科技有限公司上海卓晶半导体科技有限公司深圳大学深圳市埃芯半导体科技有限公司深圳市普马电子科技有限公司深圳市森东宝科技有限公司深圳市市卓达智视科技有限公司深圳市卓达智视科技有限公司深圳市琢光半导体设备技术有限公司深圳中科飞测科技股份有限公司胜科纳米(苏州)股份有限公司是德科技(中国)有限公司苏州博欧自动化科技集团有限公司苏州东微半导体股份有限公司苏州芬中传感技术有限公司苏州国科测试科技有限公司苏州国芯科技股份有限公司苏州黑河电子科技有限公司苏州回能环保科技有限公司苏州钧信自动控制有限公司苏州凌光红外科技有限公司苏州妙光睿芯智能科技有限公司苏州瑞霏光电科技有限公司苏州天准科技股份有限公司苏州矽视科技有限公司泰克科技(中国)有限公司天津大学精仪学院通富微电子股份有限公司无锡北京大学电子设计自动化研究院无锡芯鉴半导体技术有限公司无锡英诺赛思科技有限公司武汉颐光科技有限公司西安电子科技大学西安交通大学/西安天交新能源有限公司夏罗登工业科技(上海)有限公司新慧能济(上海)科技有限公司新胜科技(上海)有限公司亚科电子(香港)有限公司亿丰测(上海)分析技术有限公司英铂科学仪器(上海)有限公司悦芯科技股份有限公司张江国家实验室长三角先进材料研究院兆易创新科技集团股份有限公司浙江潮芯电子有限公司浙江大学浙江大学集成电路学院浙江禾芯集成电路有限公司浙江晶能微电子有限公司浙江芯晟半导体科技有限责任公司致真精密仪器(青岛)有限公司中国半导体产业链集团中国电子技术标准化研究院中国科学院上海硅酸盐研究所中国原子能科学研究院中科飞测科技股份有限公司中芯聚源私募基金管理(上海)有限公司中信银行徐汇支行珠海錾芯半导体有限公司宏茂微电子(上海)有限公司
  • 第三代半导体热潮中的仪器设备机遇
    近日,第三代半导体概念股板块大涨,又为中国半导体行业的热潮中掀起新的高潮!今年5月刘鹤主持召开会议,讨论了面向后摩尔时代的集成电路潜在颠覆性技术。作为半导体领域的新秀,第三代半导体被寄予厚望,甚至被写入“十四五”规划中。在“十四五”规划中,特别提出碳化硅、氮化镓等宽禁带半导体也就是行业人士关注的第三代半导体要取得发展。近日,业界热议,为实现中国半导体自立自强计划,国家将制定一系列相关金融和政策扶持措施,投入万亿美元的政府资金以支持一系列第三代芯片项目。国际上第三代半导体产业同样刚刚起步,我国在这一领域与国际先进水平差距较小。随着国家级别的政策和资金的海量支持,将避免重蹈第一代半导体的覆辙,有望奋起直追达到甚至超越国际先进水平。一石激起千层浪,第三代半导体究竟有何“魅力”竟如此受到关注,仪器设备行业又有哪些机会呢?第三代半导体材料引领的新赛道第三代半导体材料主要是以碳化硅(SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)为代表的宽禁带半导体材料。与第一、二代半导体材料相比,第三代半导体材料具有更宽的禁带宽度、更高的击穿电场、更高的热导率、更高的电子饱和速率及更高的抗辐射能力,更适合于制作高温、高频、抗辐射及大功率器件,通常又被称为宽禁带半导体材料(禁带宽度大于2.3eV),亦被称为高温半导体材料。从目前第三代半导体材料及器件的研究来看,较为成熟的第三代半导体材料是碳化硅和氮化镓,而氧化锌、金刚石、氮化铝等第三代半导体材料的研究尚属起步阶段。碳化硅(SiC)和氮化镓(GaN),被行业称为第三代半导体材料的双雄。基于第三代半导体的优良特性,其在通信、汽车、高铁、卫星通信、航空航天等应用场景中颇具优势。其中,碳化硅、氮化镓的研究和发展较为成熟。以SiC为核心的功率半导体,是新能源汽车充电桩、轨道交通系统等公共交通领域的基础性控件;射频半导体以GaN为原材料,是支撑5G基站建设的核心;第三代半导体在消费电子、工业新能源以及人工智能为代表的未来新领域,发挥着重要的基础作用。近年来,随着新能源汽车的兴起,碳化硅IGBT器件逐渐被应用于超级快充,展现出了强大的市场潜力,第三代半导体发展进入快车道。《2020“新基建”风口下第三代半导体应用发展与投资价值白皮书》中指出,2019年我国第三代半导体市场规模近百亿,在2019-2022年里将保持85%及以上平均增长速度,预计到2022年市场规模将超越623.42亿元。受益的产业链上下游作为“十四五”规划的重要组成部分,未来第三代半导体产业将获得巨大的资金投入,上下游产业链将持续受益。未来可能的支持手段包括直接的资金注入和相关的政策扶持,税收优惠政策等。此前国家已先后印发了《重点新材料首批次应用示范指导目录(2019版)》、《能源技术创新“十三五”规划》等政策,将SiC、GaN和AlN等第三代半导体材料纳入重点新材料目录,鼓励和支持SiC等第三代半导体材料相关的技术突破和制造。宽禁带半导体晶片和器件的制备基本工艺流程同硅基半导体基本一致,大致可分为以下几个阶段:晶体生长、晶片加工、器件制备(包括有源层制备、欧姆接触、钝化层沉积等工艺段)、器件封装等。近年来,国内相关产业链进展神速,英诺赛科苏州8英寸硅基GaN产线规模量产;山西烁科晶体有限公司已实现了5G芯片衬底材料碳化硅的国产自主供应。碳化硅产业链结构图随着相关产业链的不断建设和工艺技术的不断研发,仪器设备将持续受益。相关的仪器设备主要包括第三代半导体材料检测仪器、材料生长设备、制造工艺设备和封装测试设备。第三代半导体企业在行动目前国内第三代半导体材料产业从数量上来看已具备一定规模,初步形成了一个较为完整的产业链。而伴随着近年来的投资热潮,各地项目不断上马,众多企业布局第三代半导体。河源市东源县与华润水泥控股有限公司举行高纯石英和碳化硅单晶硅一体化硅产业项目签约仪式。该项目计划总投资180亿元人民币,预计建成达产年产值达200亿元。6月5日,英诺赛科(苏州)半导体举行“8英寸硅基氮化镓芯片生产线一期第一阶段产能扩展建设项目”签约仪式,宣布英诺赛科一期项目正式开启大规模量产。预计全面达产后,8英寸硅基氮化镓晶圆可实现年产能78万片,将成为世界级集设计、制造、封装测试等为一体的第三代半导体全产业链研发生产平台。露笑科技在互动者平台透露在第三代半导体碳化硅的技术方面取得突破,目前设备进入安装调试阶段。该项目总投资100亿元,分三期进行。着力打造第三代功率半导体(碳化硅)的设备制造、长晶生产、衬底加工、外延制作等产业链的研发和生产基地。4月1日,赛微电子与青州市人民政府就在青州市建设6-8英寸硅基氮化镓功率器件半导体制造项目签署了《合作协议》。项目总投资10亿元,分两期进行。建成投产后将形成6-8英寸GaN芯片晶圆12,000片/月的生产能力,为全球GaN产品提供成熟的技术支持和产能保障。此前,华瑞微半导体IDM芯片项目于2020年10月开工奠基,今年3月,南谯区人民政府发布消息称,项目一期将于年底正式投产。据悉,该项目总投资30亿元,集研发、生产、销售功率半导体芯片为一体,建设SiC MOSFET生产线。项目建成后,预计实现年产1000片第三代化合物半导体器件的生产能力。国内市场空间广阔,企业布局奋起直追。相关数据显示,近几年国内布局第三代半导体产业的企业超过百家。自缺芯卡脖子困境后,我国已意识到构建半导体的自有产业链的必要性。从市场需求、国家政策来看,越来越多的厂商入局第三代半导体产业是大势所趋。随着国内第三代半导体产业的不断扩大,相关仪器设备采购也将迎来热潮。那些有望获益的仪器第三代半导体器件的生产离不开检测,以碳化硅功率器件的生产为例,只有通过对各个生产环节的检测才能不断提高良率和工艺水平。碳化硅的检测主要包括衬底检测、外延片检测、器件工艺、点穴参数、可靠性分析和失效分析。除了以上这些检测项目对应的仪器外,第三代半导体制造也离不开半导体设备,碳化硅产业链更是如此,其涉及的设备种类繁多。碳化硅的很多工艺段设备可以与硅基半导体工艺兼容,但由于宽禁带半导体材料熔点较高、硬度较大、热导率较高、键能较强的特殊性质,使得部分工艺段需要使用专用设备、部分需要在硅设备基础上加以改进。相关工艺及半导体制造设备如下,
  • 国产!上海精测半导体专用电镜首台交付,电镜年产值预估超5亿元
    仪器信息网讯 近日,武汉精测电子集团股份有限公司(上海精测半导体技术有限公司母公司,下称“精测电子”)表示,上海精测半导体技术有限公司以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得长江存储、广州粤芯等国内半导体客户的批量重复订单;电子显微镜相关设备已完成首台套的交付。eViewTM全自动晶圆缺陷复查设备是上海精测半导体自主研发的扫描电子显微缺陷复查和分类设备,它具有领先的高分辨率电子束成像能力和自动缺陷分类能力,据描述,该设备是国内首台拥有完全自主知识产权的半导体前道检测设备据悉,2020年12月23日,上海精测半导体技术有限公司宣布推出首款半导体电子束检测设备:eViewTM全自动晶圆缺陷复查设备,并于当日正式交付国内客户,助力半导体产业国产化。该设备是基于扫描电子显微镜技术的复查和分类的设备,应用于集成电路制造过程,可对光学缺陷检测设备的结果进行高分辨率复查、分析和分类,满足10x nm集成电路工艺制程的需求。随着半导体集成电路工艺节点的推进,作为晶圆厂制程控制主力设备的光学缺陷检测设备的解析度已无法满足大规模生产和先进制程开发需求,必须依靠更高分辨率的电子束复检设备的进一步复查才能对缺陷进行清晰地图像成像和类型的甄别,从而为半导体制程工艺工程师优化制程工艺提供依据。eViewTM采用了自主开发的扫描电子显微镜技术,具有超高的的分辨率,适用于10x nm及以下集成电路制程的工艺缺陷自动检测。除了高分辨率电子束成像能力外,利用自主开发的基于深度神经网络(DNN)的人工智能算法进行缺陷自动识别与分类,突破常规的基于机器学习的分类算法,极大提高晶圆缺陷分类的准确度。并采用全新的超低电压EDSX射线探测技术,突破常规设备EDS使用电压的限制,实现轻量元素的高分辨率解析能力。据3月11日公开的《武汉精测电子集团股份有限公司向特定对象发行A股股票募集说明书(注册稿)》,上海精测半导体技术有限公司研发及产业化建设项目偏重于电子束检测应用、聚焦离子束与电子束双束应用、光学关键尺寸测量技术、面向大尺寸 OLED 屏的超快精细激光切割及其检测技术等方向,重点建设半导体检测设备研发及产业化基地,侧重产业园投入及在现有半导体检测设备研发及制造基础上进行工艺优化和技术升级。其研发及产业化建设项目所生产的半导体检测设备及平板显示检测设备主要面向半导体晶圆的检测和量测,部分面向 OLED 检测。其中电镜相关产品类型包括Review SEM 电子束量测设备、FIB SEM 电子束量测设备,应用于半导体电子束检测。涉及新产品研发情况,相关产品具体类别、主要功能及目标客户:项目达产后正常年不含税收入 129200 万元,其具体构成详见下表:Review Sem 电子束量测设备为公司的新产品,其定价采取“成本加成”的定价模式,根据产品生产成本、费用及合理的利润来确定该产品的价格。FIB SEM 电子束量测设备为全新产品,其销售价格是参考其他公司同类型产品中标公告的中标金额(700 万元/套至 826 万元/套),并结合未来市场需求情况而制定。在半导体测试领域,上海精测已成功开发高性能集成电路制造前道量检测进口替代设备,自主研发的集成式膜厚测量设备于 2020 年实现来自国内一线存储客户的订单,未来上海精测持续增加研发投入研发光学检测设备(纳米薄膜椭偏测量装备、光学关键尺寸(OCD)测量装备、硅片应力测量装备)和电子光学检测设备(CD-SEM扫描电子显微镜关键尺寸测 量装备 、Review-SEM 全自动晶圆缺陷复查设备、FIB-SEM 双束系统),实现研发设备的产业化,打破集成电路高端检测设备被国外厂家垄断的局面,填补国内空白,实现进口替代,为之后研发暗场颗粒检测、精密套刻测量、多束电镜、透射电镜等前沿技术和设备提供坚实基础;另一方面,公司将充分利用资本市场功能及优势,采取多元化方式,积极做大做强公司半导体测试板块,提升竞争力。技术可行性半导体产业化过程,设备先行,半导体前道检测设备是制约我国半导体制造产业的“卡脖子”难题,以美国科磊半导体为代表的国际巨头占据了全球量测检测设备大部分的市场。在政府引导和下游市场需求的双重推动下,越来越多的国产设备企业投入到半导体测试领域。上海精测注册成立后,致力于半导体前道量测检测设备的研发及生产,在光学领域自主开发针对集成电路微细结构及变化的OCD测量、基于人工智能深度学习的OCD三维半导体结构建模软件等核心技术,在电子束领域自主开发了半导体制程工艺缺陷全自动检测、晶圆缺陷自动识别与分类等核心技术,填补了国内空白。此外,公司在半导体光学、半导体电子光学及泛半导体领域积极进行项目研发,在半导体单/双模块膜厚测量设备、高性能膜厚及 OCD 测量设备、半导体硅片应力测量设备、FIB-SEM 双束系统、全自动晶圆缺陷复查设备、激光切割设备等方面积累了大量经验,形成了一定技术沉淀。生产及管理可行性目前,上海精测主要聚焦半导体前道检测设备领域,进一步加快上海精测在半导体检测领域相关技术的引进、消化和吸收,使上海精测具备集成式膜厚测量设备(200/300mm 硅片)、用于 200mm 硅基 Micro-OLED 制程膜厚测量设备、高产率 300mm 硅片膜厚检测机等产品的研发及生产能力,同时进一步降低生产成本,提高产品竞争力。上海精测以椭圆偏振技术为核心开发的适用于半导体工业级应用的膜厚量测设备以及光学关键尺寸量测系统,已经取得国内一线客户的批量重复订单;电子显微镜相关设备预计在 2020 年年底前推向市场,其余储备的产品目前正处于研发、认证以及扩展的过程中。关于上海精测半导体技术有限公司上海精测半导体技术有限公司成立于2018年7月,主要从事以半导体测试设备为主的研发、生产和销售,同时也开发一部分显示和新能源领域的检测设备。上海精测半导体技术有限公司通过自主构建研发团队及海外并购引入国产化等手段,实现半导体测试、制程设备的技术突破及产业化,快速做大做强;并倚靠母公司精测电子在平板显示检测领域已经在国内市场取得领先的市场地位,提高相关专用设备产品在集成电路市场的竞争力,旨在将公司打造成为全球领先的半导体测试设备供应商及服务商。------------------------------------拓延:关于举办首届中国电镜产业化发展论坛的通知一、会议时间4月23日下午13:30-17:00(ACCSI 2021召开同期)ACCSI 2021大会官网:https://www.instrument.com.cn/accsi/2021二、会议地点江苏无锡融创万达文华酒店三、组织单位主办单位:中国电子显微镜学会 仪器信息网四、会议形式定向邀请、圆桌会议、半开放形式五、会议主题主题:首届中国电镜产业化发展论坛内容:围绕“当前环境下,中国电镜产业化如何快速发展”,议题内容主要涵盖以下三部分内容:1)产业化/创业历程,2)发展现状,3)发展痛点及发展建议/倡议/合作机会等六、 目标参会人群及规模政府及协会学会领导,电镜业界专家/学者、实验室主任、技术/研发负责人;电镜企业及周边企业董事长、总经理、总工、市场总监、研发总监等,规模约80人。   七、会议议程(拟定,以年会官网最终信息为准)ACCSI2021分论坛:首届中国电镜产业化发展论坛议程安排(4月23日下午)主持人:中国电子显微镜学会 理事长 韩晓东中国科学院电工研究所 副所长 韩立议题内容分享人致辞中国电子显微镜学会 理事长 韩晓东开篇报告:中国电子显微镜产业发展现状及展望中国科学院电工研究所 副所长 韩立议题发言:中科科仪电镜产业化历程北京中科科仪股份有限公司 电镜事业部总经理 孟祥良议题发言:透射电镜原位系统产业化进展介绍百实创(北京)科技有限公司 总经理 李海鑫开放讨论:国产电镜产业化现状?处于什么阶段?有哪些痛点?… … 全体邀请嘉宾仪器信息网专家委电镜专业组成立仪式、颁发专家聘书仪器信息网高层议题发言:与中国科学家的产业化合作(拟)待定议题发言:高通量扫描电镜产业化与展望聚束科技(北京)有限公司 总经理 何伟开放讨论:哪些先进经验?如何取长补短?… … 全体邀请嘉宾议题发言:高时空分辨TEM研发及新技术发展中国科学院物理研究所 研究员 李建奇议题发言:如何让国产电镜更好地赋能各行各业?国仪量子(合肥)技术有限公司 营销中心副总经理 付永强开放讨论:成果转化、产业化?合作机会?… … 全体邀请嘉宾小结主持人晚宴八、联系方式首届中国电镜产业化发展论坛:杨编辑,15311451191,yanglz@instrument.com.cnACCSI 2021大会: 杜老师, 13671073756 李老师, 15611023645附:关于2021第十五届中国科学仪器发展年会(ACCSI2021)2021第十五届中国科学仪器发展年会(ACCSI2021)将于2021年4月21-23日在无锡市召开。ACCSI定位为科学仪器行业高级别产业峰会,经过14年的发展,单届参会人数已突破1000人,被业界誉为科学仪器行业的“达沃斯论坛”。ACCSI2021以“创新发展,产业共进”为主题,力求对过去一年中国科学仪器产业最新进展进行较为全面的总结,力争把最新的产业发展政策、最前沿的行业市场信息、最新的技术发展趋势、最新的科学仪器研发成果等在最短的时间内呈现给各位参会代表。会议期间将颁发 “年度优秀新品”、 “年度绿色仪器”、“年度行业领军企业”、“年度十大第三方检测机构”、“年度售后服务厂商”、“年度网络营销奖”“年度人物”等多项行业大奖,引领科学仪器产业方向。会议日程(拟定,以年会官网最终信息为准)时间日程会议内容4月21日9:00-20:00参会注册14:00-17:00第三届仪器CMO圆桌峰会4月22日9:00-12:00大会特邀报告13:30-15:30i100峰会:中国科学仪器发展高峰论坛16:00-18:00仪器及检测风云榜颁奖盛典4月23日分论坛9:00-17:00第六届中国质谱产业化发展论坛第五届检验检测产业峰会9:00-12:00实验室智能化论坛量子精密测量产业化发展论坛生命科学仪器发展与精准医疗产业对接圆桌论坛石墨烯检测与标准发展论坛科学仪器及检测人才发展论坛13:30-17:00生命科学仪器创新成果转化圆桌论坛环境监测热点技术及市场论坛首届中国电镜产业化发展论坛中药分析与质量控制创新发展论坛近红外光谱产业化发展论坛贵金属及珠宝检测技术发展论坛参会咨询报告及参会报名:010-51654077-8124 13671073756 杜老师 15611023645李老师赞助及媒体合作:010-51654077-8015 13552834693魏老师微信添加accsi1或发邮件至accsi@instrument.com.cn (注明单位、姓名、手机)咨询报名。报名链接:https://insevent.instrument.com.cn/t/mK报名二维码扫描二维码立即报名
  • 这些检测仪器广东省采购量独占鳌头 ——半导体仪器设备中标市场盘点系列之前道量测篇
    前道量检测根据测试目的可以细分为量测和检测。量测主要是对芯片的薄膜厚度、关键尺寸、套准精度等制成尺寸和膜应力、掺杂浓度等材料性质进行测量,以确保其符合参数设计要求;而检测主要用于识别并定位产品表面存在的杂质颗粒沾污、机械划伤、晶圆图案缺陷等问题。广义上的半导体检测设备,分为前道量测(又称半导体量测设备)和后道测试(又称半导体测试设备)。前道量检测主要用于晶圆加工环节,目的是检查每一步制造工艺后晶圆产品的加工参数是否达到设计的要求或者存在影响良率的缺陷,属于物理性的检测。仪器信息网近期特对一年内半导体前道检测用光学显微镜、聚焦离子束、电子显微镜、四探针和椭偏仪的中标讯息整理分析,供广大仪器用户参考。(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)各月中标量占比2019年10月至2020年9月,根据统计数据,检测设备的总中标数量为142台。2019年10月至2020年1月,平均中标量约15台每月。2020年2月,由于疫情影响,半导体量测仪器市场低迷。从2020年3月起,随着国内疫情稳定以及企业复产复工和高校复学的逐步推进,光刻设备市场逐渐回暖,其中9月产品中标量高达21台。招标单位地区分布本次盘点,招标单位地区分布共涉及25个省份、自治区及直辖市。其中,广东省采购量最多,达33台,远超其他地区。在广东省的采购中,以光学显微镜和四探针设备为主,采购单位多数为高校。采购单位性质分布从光刻设备的招标采购单位来看,高校是采购的主力军,采购量占比高达63%,企业和科研院所的采购量分别占比17%和20%。值得注意的是,企业和科研院所采购检测设备的平均价格较高。这表明,前道检测设备主要用户集中于研发领域。各类检测设备占比从各类前道检测设备占比来看,根据搜集到的中标数据可知,四探针、椭偏仪、电子显微镜和光学显微镜占比分别为32%、25%、22%和18%。这里的光学显微镜包含了金相显微镜和体视显微镜。值得注意的是,企业采购以电子显微镜为主,而高校采购则以四探针为主,科研院所各类设备采购数量差距不大。本次设备中标盘点,涉及椭偏仪品牌有颐光科技、SEMILAB、J.A.Woollam、海瑞克、HORIBA等;四探针品牌有广州四探针、瑞柯、苏州晶格、海瑞克、品鸿科技、海尔帕、普西工业、Napson Corporation、三菱等。其中,各品牌比较受欢迎的产品型号有:HORIBA UVISEL 研究 级经典型椭偏仪 这款仪器是HORIBA公司20多年技术积累和发展的结晶,是一款高准确性、高灵敏度、高稳定性的经典椭偏机型。即使在透明的基底上也能对超薄膜进行精确的测量。采用PEM相位调制技术,与机械旋转部件技术相比,能提供更好的稳定性和信噪比。同时,这款仪器提供多种光谱范围选择,还针对紫外、可见和近红外提供优化的PMT和IGA探测器。 FT-330 系列四 探针测试 仪 FT-330系列普通四探针方阻电阻率测试仪是按照硅片电阻率测量的国际标准(ASTM F84)及国家标准设计制造该仪器设计符合GB/T 1551-2009 《硅单晶电阻率测定方法》、GB/T 1551-1995《硅、锗单晶电阻率测定直流两探针法》、GB/T 1552-1995《硅、锗单晶电阻率测定直流四探针法》并参考美国 A.S.T.M 标准设计。本机配置232电脑接口及USB两种接口,采用范德堡测量原理能改善样品因几何尺寸、边界效应、探针不等距和机械游移等外部因素对测量结果的影响及误差,比市场上其他普通的四探针测试方法更加完善和进步,特别是方块电阻值较小的产品测量,更加准确。FT-341 双电测 电四探针 方阻电阻率测试仪 这款仪器采用四探针双电测量方法,适用于生产企业、高等院校、科研部门,是检验和分析导体材料和半导体材料质量的一种重要的工具。同时,仪器配置各类测量装置可以测试不同材料。双电测数字式四探针测试仪是运用直线或方形四探针双位测量。该仪器设计符合单晶硅物理测试方法国家标准并参考美国 A.S.T.M 标准。利用电流探针、电压探针的变换,进行两次电测量,对数据进行双电测分析,自动消除样品几何尺寸、边界效应以及探针不等距和机械游移等因素对测量结果的影响,它与单电测直线或方形四探针相比,大大提高精确度,特别是适用于斜置式四探针对于微区的测试。点击此处进入【电子显微镜】【聚焦离子束】【四探针测试仪】和【椭偏仪】等专场,获取更多产品信息。更多资讯请扫描下方二维码,关注【材料说】
  • 未雨绸缪:半导体检测仪器核心零部件抢先一步国产替代
    p style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"从span2018/span年开始,美国掀起了对中国新一轮的贸易战,旨在打压中国在以span5G/span为代表的高端制造业领域的竞争。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height: 150%"5G /spanspan style=" line-height:150%"是第五代移动通信技术的简称和英文缩写,是最新一代蜂窝移动通信技术,也是继span4G/span(spanLTE-A/span、spanWiMax/span)、span3G/span(spanUMTS/span、spanLTE/span)和span2G/span(spanGSM/span)系统之后的延伸。span5G/span的性能目标是高数据速率、减少延迟、节省能源、降低成本、提高系统容量和大规模设备连接。span5G/span网络的数据传输速率远远高于以前的蜂窝网络,最高可达span10Gbit/s/span,比当前的有线互联网要快,比先前的span4G LTE/span蜂窝网络快span100/span倍。此外,span5G/span的网络延迟较低(更快的响应时间),低于span1/span毫秒,而span4G/span为span30-70/span毫秒。由于数据传输更快,span5G/span网络将不仅仅为手机提供服务,还将成为一般性的家庭和办公网络提供商,与有线网络提供商竞争。以前的蜂窝网络提供了适用于手机的低数据率互联网接入,但是一个手机发射塔不能经济地提供足够的带宽作为家用计算机的一般互联网供应商。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"而国内以华为中兴为首的span5G/span设备制造商,此次更是成为了美国的重点打击对象。/span目前禁令更是蔓延到半导体领域,禁止相关企业为华为芯片代工。span style=" line-height:150%"国内其他相关企业也面临着/span关键核心器件依赖进口的风险。从事无线通信测试仪器行业的上海创远仪器技术span style=" line-height:150%"股份有限公司首先注意到了这种风险,并在申请向不特定合格投资者公开发行股票的公开发行说明书中特别提醒投资者对关键核心器件依赖进口的风险予以关注。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"从span5G/span设备到半导体产业,随着贸易战的“战火”沿着产业链条不断蔓延,贸易 “战火”又将燃向何方?国内检测仪器公司又该何去何从?“谜底”或可从贸易战的历程中窥得一二。/span/ph3 style="text-align: justify line-height: 150% "strongspan style="font-size:16px line-height:150%"5G/span/strongstrongspan style="font-size:16px line-height:150%"掀起贸易风波/span/strongstrong/strong/h3p style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height: 150%"5G/spanspan style=" line-height:150%"通信已成为各国和地区争抢发展的技术高地,各国都试图在标准领域拥有更多的话语权。国际咨询机构安永预计,到span2025/span年,中国的span5G/span用户数将达到span5.76/span亿,占全球总数逾span40% /span中国span5G/span资本支出将达到span1.5/span万亿元span(/span约合span2230/span亿美元span)/span。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"面对激烈的span5G/span之争,中国企业步步领先,拥有世界最先进的span5G/span技术。德国的一加专利分析公司spanIPlytics/span就正式对外发布了一份span5G/span专利研究报告,研究报告中指出,截止至span2019/span年span4/span月份,中国四家公司拥有的span5G/span标准必要专利数量竟然达到了惊人的span36%/span,紧随其后的便是韩国的span25%/span,而美国仅仅只有span14%/span,和芬兰一样。在全球范围内,华为拥有span1554/span族span5G/span标准必要专利,力压所有竞争对手位居全球第一。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"然而,span2018/span年span4/span月span16/span日晚,在中兴接受了span8.9/span亿美元的罚款一年后,美国商务部仍悍然发布公告称,美国政府在未来span7/span年内禁止中兴通讯向美国企业购买敏感产品。面对禁令,中兴感到措手不及,最终选择息事宁人。中兴事件对中国企业是个镜鉴,中国企业必须进一步提高创新,尽快把核心技术掌握在自己手中。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"然而山雨欲来风满楼,树欲静而风不止。美国政府很快将目标指向掌握更多span5G/span专利技术的华为。span2018/span年span12/span月span1/span日,美国当局以捏造的罪名要求加拿大逮捕了孟晚舟;同月span11/span日,加拿大法院作出裁决,批准孟晚舟的保释申请。“孟晚舟事件”归根结底是美国妄图通过“人质”要挟华为就范,放弃span5G/span技术的领先优势。面对美国的卑鄙手段和中兴的前车之鉴,华为却没有接受美国的无耻要挟,而是启动了一系列的“备胎”转正计划,凭借华为多年来居安思危、未雨绸缪的准备,在部分关键技术领域摆脱了对美依赖。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"北京时间span2020/span年span7/span月span24/span日上午,加拿大不列颠哥伦比亚省高等法院公开孟晚舟引渡案下一阶段庭审的证据材料。该法院公开证据表明,所谓孟晚舟案,完全是美国炮制的政治案件。汇丰银行参与构陷,恶意做局、拼凑材料、捏造罪证,扮演了极不光彩的角色。孟晚舟是清白的!/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"以上种种迹象表明,美国政府的制裁目标实际上是中国的span5G/span设备制造和技术研发。/span/ph3 style="text-align: justify line-height: 150% "strongspan style="font-size:16px line-height:150%"贸易战火蔓延至半导体制造领域/span/strong/h3p style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"面对美国对华为各种业务(手机、通讯设备等)的制裁,华为拿出了一系列的“备胎”转正计划。一时间,美国政府无从下手,最终将目光射向了和通信设备密切关联的半导体制造产业,企图限制其他企业为华为芯片代工。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"半导体产业分为spanIC/span设计、半导体制造和封装测试三部分,其中华为完成spanIC/span设计,之后由半导体制造企业代工制造芯片,最后再进行封装。目前我国的封装测试技术已在国际上夺得一席之地,然而半导体的先进制程制造仍大量依赖于国际企业,尖端半导体设备仍与世界半导体设备巨头企业差距巨大。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height: 150%"2019/spanspan style=" line-height:150%"年span5/span月,美国发布“出口管制”只要销售给华为的产品当中,涵盖硬件、软件等的美国技术含量超过span25%/span,就会被要求禁止,而这当中的span“/span美国技术含量span”/span包括制造地位于美国、技术源于美国,以及国外制造但源自美国的内容超过span25%/span都算在限制的范围内。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"随着国内半导体企业不断发力半导体制造领域,大量晶圆厂立项。今年八月,据路透社报道,美国正在考虑对半导体制造设备及相关软件工具,激光器,传感器和其他技术的出口实行新的限制,以阻止中国等美国的对手使用。报道指出,特朗普政府以国家安全为由,通过了一系列措施限制对中国公司特别是华为的技术出口。为限制拟禁止向中国企业出售半导体设备。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height: 150%"9/spanspan style=" line-height:150%"月span4/span日更是传出消息,美国拟制裁中芯国际,切断国内最后的先进制程代工。次日中芯国际发布严《中芯国际关于外媒报道美国政府考虑将公司列入贸易黑名单的声明》。为了限制中国的span5G/span设备制造,美国对我国半导体产业围追堵截,贸易战正不断扩展延申至半导体产业。/span/ph3 style="text-align: justify line-height: 150% "strongspan style="font-size:16px line-height:150%"未来半导体检测仪器或面临制裁/span/strong/h3p style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"半导体制造的检测,包括前道和后道检测。前道量检测运用于晶圆的加工制造过程,它是一种物理性、功能性的测试,用以检测每一步工艺后产品的加工参数是否达到了设计的要求,并且查看晶圆表面上是否存在影响良率的缺陷,确保将加工产线的良率控制在规定的水平之上。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"前道量检测设备行业具有极高的技术、资金壁垒,对业内公司研发能力有很强要求。目前市场呈现高度垄断的局面,美国厂商span KLA-Tencor /span占据span 52%/span的市场份额,是行业内的绝对龙头, 遥遥领先排在第二位的span AMAT/span。 凭借在前道量检测设备领域的垄断地位,span KLA /span在span 2016 /span年名列全球半导体设备商第五位,如今依旧在列。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"后道的性能测试主要偏重于从芯片功能性的角度检测芯片的性能表现是否符合设计要求,对应设备包括:测试机、探针台、分选机等。测试机是检测芯片功能和性能的专用设备。分选机和探针台是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"后道检测设备市场呈现寡头垄断的局面,这是因为后道检测设备具有较高的技术壁垒,设备的核心技术均掌握在少数几个西方国家的厂商手中。其中,爱德万与泰瑞达两家公司以超过span90%/span的市场份额垄断测试台市场;在探针台领域内,东京精密一家公司的市占率已经达到了span60%/span;同样的在分选机市场内,爱德万、科休、爱普生三家公司的市场份额已经超过了span60%/span。/span/pp style="text-indent: 28px line-height: 150% text-align: justify "span style=" line-height:150%"目前半导体测试设备的国产化率仍不足span10%/span。随着贸易战的蔓延,半导体检测仪器关键核心零部件和半导体检测设备依赖进口的风险逐渐凸显。放弃幻想,准备斗争,对于半导体检测仪器企业来说,这既是机遇,也是挑战。以下为半导体检测设备清单/span/ptable border="1" cellspacing="0" cellpadding="0" style="border-collapse: collapse border: none " align="center"tbodytr class="firstRow"td width="104" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="text-align: center "span分类/span/p/tdtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="text-align: center "span仪器/span/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="text-align: center "span用途/span/p/td/trtrtd width="104" rowspan="6" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="text-align: center "span前道检测设备/span/p/tdtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all "p style="line-height: 150% text-align: center "a href="https://www.instrument.com.cn/zc/537.html" target="_self" style="background: white color: rgb(0, 0, 0) text-decoration: underline "strongspan style="background: white color: rgb(0, 0, 0) "椭偏仪/span/strong/a/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"测量透明、半透明薄膜厚度/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all "p style="line-height: 150% text-align: center "a href="https://www.instrument.com.cn/zc/1677.html" target="_self" style="color: rgb(0, 0, 0) text-decoration: underline "span style="color: rgb(0, 0, 0) "strongspan style="color: rgb(51, 51, 51) background: white "四探针/span/strong/span/a/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"测量不透明薄膜厚度/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"热波系统/span/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"测量掺杂浓度/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"相干探测显微镜/span/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"套准精度测量设备/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "a href="https://www.instrument.com.cn/list/sort/5.shtml" target="_self" style="background: white color: rgb(0, 0, 0) text-decoration: underline "strongspan style="background: white color: rgb(0, 0, 0) "光学显微镜/span/strong/a/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"快速定位表面缺陷/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all "p style="line-height: 150% text-align: center "a href="https://www.instrument.com.cn/zc/53.html" target="_self" style="color: rgb(0, 0, 0) text-decoration: underline "span style="color: rgb(0, 0, 0) "strongspan style="color: rgb(51, 51, 51) background: white "扫描电子显微镜/span/strong/span/a/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" color:#333333 background:white"对缺陷进行精准成像/span/p/td/trtrtd width="104" rowspan="3" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="text-align: center "span后道检测设备/span/p/tdtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" line-height:150%"测试台/span/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" line-height:150%"检测芯片功能和性能/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px word-break: break-all "p style="line-height: 150% text-align: center "a href="https://www.instrument.com.cn/zc/1801.html" target="_self" style="color: rgb(0, 0, 0) text-decoration: underline "span style="color: rgb(0, 0, 0) "strongspan style="color: rgb(0, 0, 0) line-height: 150% "探针台/span/strong/span/a/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" line-height:150%"用于晶圆加工之后、封装工艺之前的spanCP/span测试环节,负责晶圆的输送与定位,使晶圆上的晶粒依次与探针接触并逐个测试/span/p/td/trtrtd width="132" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" line-height:150%"分选机/span/p/tdtd width="317" valign="top" style="border: 1px solid rgb(0, 0, 0) padding: 5px "p style="line-height: 150% text-align: center "span style=" line-height:150%"根据测试结果对产品进行筛选与分类/span/p/td/tr/tbody/tablepbr//p
  • 半导体扩产陷入死循环,波及仪器设备供应链
    荷兰半导体设备大厂阿斯麦(ASML)总裁兼首席执行官Peter Wennink在线上财报会上透露,有一家大型工业集团为解决芯片荒,买进洗衣机并拆解出内部半导体,用在自家模组上。Peter表示这家陷入困境的公司上周跟他吐苦水,虽然没有指明公司的名字,但这样“收废旧”回收利用芯片的行为,可以预见芯片短缺在未来将持续存在,至少在某些广泛采用物联网技术、大规模实施数字化的行业是如此。这一点从半导体设备厂商漂亮的业绩,和二手设备飞涨的价格也能看出一二。交货速度赶不上接单速度4月20日,ASML发布了2022年第一季度财报。2022年第一季度,ASML实现了净销售额35亿欧元,毛利率为49.0%,净利润为6.95亿欧元,新增订单金额70亿欧元。ASML预计2022年第二季度净销售额约为51亿~53亿欧元,毛利率约为49%~50%。ASML 2022年第一季度财报一览(除非特别说明,数字均以百万欧元为单位)Q4 2021Q1 2022净销售额4,9863,534其中装机管理销售额11,5221,247售出的全新光刻系统(台)7259售出的二手光刻系统(台)103新增订单金额27,0506,977毛利润2,7011,731毛利率(%)54.249.0净利润1,774695基本每股收益(欧元)4.391.73季末现金及现金等价物和短期投资7,5904,723(1)累计装机管理销售额等于净服务和升级方案(field option)销售额的总和。(2)系统订单包括所有的系统销售订单。(3)数字已经四舍五入,方便读者阅读。Peter Wennink表示:“第一季度的净销售额为35亿欧元,达到了预期目标区间上位;毛利率为49.0%,符合预期。第一季度的新增订单金额达到70亿欧元,其中25亿欧元来自0.33 NA和0.55 NA EUV系统订单以及大量的DUV订单,这反映了市场对先进和成熟节点持续性的强劲需求。”光刻机在芯片生产中极为关键,其中极紫外光刻机(EUV)目前仅有ASML能够生产,这种设备主要用于生产7纳米及更先进工艺的芯片。按市值计算,ASML是欧洲最大的科技公司,市值为2260亿欧元。然而即便强大如ASML,也在接受半导体供应链的严峻挑战。Peter Wennink指出:“市场对于我们系统的强劲需求一如既往超过了当前的产能。为了满足客户的需要,我们提供高生产率的升级解决方案,并继续通过快速发货流程来缩减工厂周期。此外,我们正与供应链伙伴一起努力扩大产能。考虑到市场需求和我们增加产能的计划,我们将重新评估公司2025年的预期和之后的增长机会,计划在今年下半年公布最新进展。我们预计公司2022年第二季度净销售额在51亿至53亿欧元之间,毛利率约为49%~50%。预计研发成本约为7.9亿欧元,销售及管理费用约为2.2亿欧元。2022年全年营收预期增长仍为20%左右。”实际上,ASML加快光刻机的交付速度带来的财务影响已体现在第一季度财报中,其一季度销售额35亿欧元,环比降低了20%。原因在于部分光刻机订单尚未获得工厂准入测试即向客户出货,使得客户可以更快获得光刻机,但这批订单收入需要在未来多个季度中才能得以确认。ASML首席财务官罗杰达森(Roger Dassen)表示,在第二季度,还将有8亿欧元的销售收入被排除在公司业绩指引之外,同样是因为加快光刻机出货而带来的收入确认递延。根据财报,ASML本季度收到了逻辑芯片和存储芯片客户的多个High-NA EXE:5200系统(EUV 0.55 NA)订单,这也说明美光、SK海力士等存储芯片厂商将正式开始采用EUV工艺。在应用业务中,交付了第一套eScan460系统,这是其下一代单电子束检测设备。与eScan430相比,eScan460分辨率更高,检测效率提升了50%。芯片制造商“豪横”扩产全球半导体产业持续“缺芯”的大环境下,芯片制造商纷纷扩大资本支出,发力扩产。近日,英特尔宣布,其在欧洲的初始投资将超过330亿欧元(约360亿美元),涵盖德国、法国、爱尔兰、意大利、波兰和西班牙6个国家,覆盖半导体整个产业链。其中,德国的投资计划占其初始投资的一半左右,大约为170亿欧元(约186亿美元)。英特尔计划在德国萨克森-安哈尔特州(Saxony-Anhalt)首府马格德堡(Magdeburg)建立两个半导体晶圆厂,工厂预计将于2023年上半年开始建设,2027年投产。台积电、联电、中芯国际等大厂也公布了资本支出计划:台积电预计2022年公司资本开支将达到400亿美元~440亿美元之间,同比增长33%-46%。其中约有70%至80%将用于为最新和即将推出的节点(包括N2、N3、N5和N7)建造新晶圆厂和扩大产能。联电2022年资本支出将达到30亿美元,较去年大涨66%。联电资本支出将主要用于南科Fab 12A P6厂扩展计划,其中90%将用于12英寸晶圆、10%用于8英寸晶圆产能。中芯国际资本支出预计约为50亿美元,将持续推进已有的老厂扩建并发力三个新厂项目。今年中芯国际临港基地项目已在上海浦东新区开工建设,北京和深圳两个项目稳步推进,预计2022年底投入生产,3个新项目满产后,将使总产能倍增。半导体设备巨头们因此受益颇多。半导体行业组织SEMI在最新发布的《世界晶圆厂预测报告》中指出,2022年全球前端晶圆厂设备支出预计将比去年同期增长18%,达到1070亿美元,首次超过1000亿美元大关。日本半导体设备协会估计,在截至3月31日的财年中,日本制造的芯片制造设备销量达到创纪录的3.35万亿日元(260亿美元),比2020财年增长41%。 设备厂商应对产能瓶颈的策略之一,是大量招募新员工。今年1月媒体曾报道,ASML希望在今年年底前将员工总数从目前的3.15万人增加到3.5万人。该公司去年已增加约4,000多名新员工,2022年底,员工数将比2020年底时增加近30%。策略之二,是与芯片制造商携手共同解决设备产能紧张的问题。据悉,英特尔已经就供应短缺问题与ASML进行了直接接触,并且已派出自己的制造专家到该公司帮助加速生产;台积电CEO魏哲家也在上周的财报电话会议上表示台积电已派出“多个团队”协助芯片制造设备供应商尽早交货,“我们正在努力为制造设备供应商解决所有问题”。不过即便如此,却仍苦于没有办法买到足够的零组件以完成订单。从ASML出货来看,当季总共销售62部光刻系统,包括59部新系统和3部二手系统。但光刻机生产速度仍难以赶上订单规模。当前从先进工艺到成熟工艺的需求都非常强劲,ASML预计在2024年才能将积压订单消化完毕。除了ASML,总部位于美国的泛林集团(Lam Research)也面临这样的问题。泛林集团首席执行官Tim Archer本周三(4月20日)在在线财报会上表示,“在需求方面,整体环境仍然非常强劲,而供应相关的延迟还在继续,可能会限制2022年晶圆制造设备投资的执行程度”。Roger Dassen称,和其他行业一样,半导体设备供应商自身的供应链所面临的挑战包括,持续的疫情导致劳动力、能源运费上涨和芯片等元器件紧缺等。据一家半导体设备租赁公司的代表透露,新产品的交付时间已经从一年延长到大约一年半。二手半导体设备成硬通货,相当抢手虽说买新不买旧,但既然新设备买不到,二手设备考虑一下?因为对于成熟工艺来说,不需要EUV光刻机那样尖端但“期货”的设备,二手设备可以快速采购。据日经报道,过去两年,由于需求旺盛,二手半导体设备的价格飙升,二手光刻机价格翻了一番,二手成熟工艺(8英寸晶圆)设备的价格已经与最初的上新价相同。一旦有二手芯片制造设备上市的消息,买家就会突然冒出来。租赁公司Mitsubishi HC Capital的一位销售人员说:“有些高端的芯片制造设备,价格甚至翻了五倍。”用于200毫米晶圆的传统设备的短缺尤其明显。虽然智能手机通常使用300毫米晶圆的芯片,但200毫米晶圆可广泛用于制造汽车和电器的芯片。自20世纪90年代以来,用于200毫米晶圆的设备一直是主流,因此在二手市场上出售的许多机器已有二十多年的历史。二手设备的价格往往根据其状况和使用年限而有所不同,越久的越便宜,但如今这个荒诞的大环境下有的旧设备竟然还升值了!例如,佳能FPA3000i4是一款1995年生产的光刻设备,用于在芯片上蚀刻电路,2014年10月它的价值只有10万美元,而现在已经涨到了170万美元,价格飙涨17倍。二手半导体设备供应商Hightec Systems的首席执行官Moriaki Abe表示,二手半导体设备的价格“可能会在今年年底之前继续保持高位”。报道还称,二手成熟工艺设备价格上涨与中国有关。因为EUV等先进制造技术受到美国封锁等原因,中国正在大力投资成熟芯片工艺产能,而生产这类芯片的设备不受美国管控。据SEMI预计,从2020年年初到2024年年底,全球半导体制造商有望将8英寸晶圆厂产能提高21%,从地区来看,中国大陆将在8英寸晶圆产能处于领先,到2022年将占全球8英寸产能的21%。写在最后芯片缺货,让Fabless厂商给晶圆代工厂下了更多订单;晶圆代工厂需要扩充产能,所以需要向设备厂商买更多设备;但设备厂商却因为缺少芯片,无法造出足够设备… … 这样的“死循环”可能使半导体厂更难在短期内大幅提高产能。据TheElec统计,2021年国际主流半导体设备厂商交期已经达到1年以上。中信证券分析师徐涛称近期设备交期仍在继续延长。这或许也是资本市场持续看好半导体设备的原因之一,杰富瑞分析师Mark Lipacis在一份给客户的报告中表示,他看好一些半导体股,包括应用材料、泛林集团、AMD和英伟达。而4月20日在中国科创板上市的国内半导体设备龙头拓荆科技,也同样打破了近期半导体概念股新上市就破发的怪圈,连续两天上涨。这类具备“硬科技”属性的高新技术企业,发行上市便获得资本助力,足见中国高度重视和重点支持半导体专用设备等战略新兴行业。
  • 深扒!半导体封测工厂仪器设备配置清单
    半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。所谓封装测试其实就是封装后测试,把已制造完成的半导体元件进行结构及电气功能的确认,以保证半导体元件符合系统的需求的过程称为封装后测试。对此,仪器信息网特通过公开文件了解到某著名封测企业的存储及射频类集成电路封测产业化项目的仪器设备配置清单。详情如下,半导体封装是半导体产业链的重要组成部分。半导体制造工艺的进步也在推动封装企业不断追求技术革新,持续加大研发投资。在半导体产业强势发展下,半导体行业对半导体封装设备的质量、技术参数、稳定性等有严苛的要求,因此其中涉及的检测技术至关重要。基于此,仪器信息网将于2022年4月28日举办”半导体封装检测技术与应用“主题网络研讨会,邀请业内专家进行精彩报告分享,旨在为广大半导体封装行业用户、检测人员和相关学者提供一个线上近距离交流平台。
  • 862项标准获批,涉及半导体、化工检测和检测仪器等领域
    2020年12月25日,工信部发布《中华人民共和国工业和信息化部公告》,批准《霍尔元件 通用技术条件》等669项行业标准,批准《白云石标准样品》等76项行业标准样品,批准《高纯铝锭》等23项行业标准外文版,批准《75℃热稳定性试验仪校准规范》等94项行业计量技术规范。在669项标准中,多项标准涉及半导体行业(包括了半导体器件、半导体设备和半导体材料等方面)和多种化学品的检测。此外,94项行业计量技术规范涉及了热稳定性试验仪、便携式挥发性有机物泄漏检测仪、漆膜弯曲试验仪、漆膜附着力测定仪、直流辉光放电质谱仪、双联电解分析仪等多种分析检测仪器,相关标准如下:附件:23项行业标准外文版编号、名称、主要内容等一览表.doc94项行业计量技术规范编号、名称、主要内容等一览表.docx76项行业标准样品目录.docx669项行业标准编号、名称、主要内容等一览表.doc半导体相关标准(部分)标准号标准名称标准内容JB/T 9473-2020霍尔元件 通用技术条件本标准规定了霍尔元件的术语和定义、基本参数和符号、要求、试验方法、检验规则、标志、包装、运输和贮存。本标准适用于非集成的半导体霍尔元件。JB/T 9481-2020扩散硅力敏器件本标准规定了扩散硅力敏器件的术语与定义、分类与命名、要求、试验方法、检验规则、标志、包装、运输和贮存。本标准适用于半导体扩散硅力敏器件。HG/T 5736-2020高纯工业品过氧化氢本标准规定了高纯工业品过氧化氢的分型、要求、试验方法、检验规则、标志、标签、包装、运输和贮存。本标准适用于高纯工业品过氧化氢。该产品主要用于太阳能光伏行业、液晶显示器件和半导体行业制程的清洗或刻蚀,以及其他对高纯过氧化氢有需求的行业。XB/T 515-2020钪铝合金靶材本标准规定了钪铝合金靶材的要求、试验方法、检验规则与标志、包装、运输、贮存及质量证明书。本标准适用于铸造法制得的钪铝合金靶材,主要用于半导体及光电等领域。QC/T 1136-2020电动汽车用绝缘栅双极晶体管(IGBT)模块环境试验要求及试验方法本标准规定了电动汽车用绝缘栅双极晶体管(IGBT)模块环境适应性要求和试验方法。本标准适用于电动汽车用IGBT模块,其他半导体器件模块可参考使用。SJ/T 11761-2020200mm及以下晶圆用半导体设备装载端口规范本标准规定了晶圆承载器与晶圆制造/检测设备之间的机械端口要求,主要包括晶圆承载器在设备上的位置和方向。本标准适用于加工直径200 mm及以下晶圆的半导体设备装载端口。SJ/T 11762-2020半导体设备制造信息标识要求本标准规定了半导体设备制造信息标识的术语和定义、设计和原则、使用及相应的综合标签库。半导体设备制造信息标识包括半导体制造设备选择、安装、使用和维护时需要的各种类型的技术和商业信息。信息类型包括操作手册/指南、安装手册、维护手册、维护计划、备件/零部件清单、维修/故障排除手册、发行说明、培训手册等。SJ/T 11763-2020半导体制造设备人机界面规范本标准规定了半导体制造设备人机界面的术语和要求。本标准适用于半导体制造设备。SJ/T 10454-2020厚膜混合集成电路多层布线用介质浆料本标准规定了厚膜混合集成电路多层布线用介质浆料的技术要求、试验方法、检验规则、包装、贮存及运输,适用于与金、钯银导体浆料相匹配的厚膜混合集成电路多层布线用介质浆料。SJ/T 10455-2020厚膜混合集成电路用铜导体浆料本标准规定了厚膜混合集成电路用铜导体浆料的技术要求、试验方法、检验规则、包装、贮存及运输,适用于厚膜混合集成电路用铜导体浆料。化工检测相关标准(部分)标准号标准名称标准内容SH/T 1829-2020塑料 聚乙烯和聚丙烯树脂中微量元素含量的测定 电感耦合等离子体发射光谱法 本标准规定了采用电感耦合等离子体发射光谱法(ICP-OES)测定聚乙烯和聚丙烯树脂中镁(0.10 mg/kg~50.00 mg/kg)、铝(0.20 mg/kg~100.00 mg/kg)、钙(0.40 mg/kg~130.00 mg/kg)、锌(0.50 mg/kg~200.00 mg/kg)、铬(0.10 mg/kg~3.00 mg/kg)、钛(0.10 mg/kg~6.00 mg/kg)等微量元素含量的方法。 本标准适用于粉末状、颗粒状聚乙烯和聚丙烯树脂。SH/T 1830-2020丙烯腈-丁二烯橡胶中壬基酚含量的测定 气相色谱-质谱法 本标准规定了采用气相色谱-质谱法测定丙烯腈-丁二烯生橡胶中壬基酚含量的方法。 本标准适用于丙烯腈-丁二烯生橡胶,壬基酚单组分含量最低检出限为1.4mg/kg。SH/T 1831-2020丙烯腈-丁二烯橡胶中游离丙烯腈含量的测定 顶空气相色谱法 本标准规定了采用顶空气相色谱法测定丙烯腈-丁二烯生橡胶中游离丙烯腈含量的方法。 本标准适用于丙烯腈-丁二烯生橡胶,游离丙烯腈含量最低检出限为1.8mg/kg。SH/T 1832-2020异戊二烯橡胶微观结构的测定 核磁共振氢谱法 本标准规定了采用核磁共振氢谱法测定异戊二烯橡胶(IR)中顺式1,4结构(cis-1,4)、反式1,4结构(trans-1,4)和3,4结构(3,4)含量的方法。 本标准适用于异戊二烯生橡胶。SH/T 1142-2020工业用裂解碳四 液态采样法 本标准规定了采取供分析用的工业用裂解碳四以及其他碳四液态烃类样品的设备和方法。 本标准适用于采取工业用裂解碳四及其他碳四液态烃类样品。SH/T 1482-2020工业用异丁烯纯度及烃类杂质的测定 气相色谱法 本标准规定了用气相色谱法测定工业用异丁烯纯度及烃类杂质的含量。 本标准适用于纯度大于98.00%(质量分数),丙烷、丙烯、异丁烷、正丁烷、反-2-丁烯、1-丁烯、顺-2-丁烯、丙炔、1,3-丁二烯、正戊烷、异戊烷等烃类杂质含量不小于0.0010%(质量分数)的工业用异丁烯测定。SH/T 1483-2020工业用碳四烯烃中微量含氧化合物的测定 气相色谱法 本标准规定了用气相色谱法测定工业用碳四烯烃中的微量含氧化合物含量。 本标准适用于工业用碳四烯烃中微量二甲醚、甲基叔丁基醚、甲醇和叔丁醇等含氧化合物的测定,其最低测定浓度为0.0001%(质量分数)。SH/T 1492-2020工业用1-丁烯纯度及烃类杂质的测定 气相色谱法 本标准规定了用气相色谱法测定工业用1-丁烯的纯度及其烃类杂质含量。 本标准适用于纯度不小于99.00% (质量分数),丙烷、丙烯、异丁烷、正丁烷、乙炔、反-2-丁烯、异丁烯、顺-2-丁烯等烃类杂质含量不小于0.001%(质量分数),丙二烯、丙炔含量不小于2mL/m3,1,3-丁二烯含量不小于10 mL/m3或0.001%(质量分数)的工业用1-丁烯试样的测定。SH/T 1549-2020工业用轻质烯烃中水分的测定 在线分析仪使用导则本标准规定了测定轻质烯烃气体中微量水分的在线分析仪的工作原理、一般特征、分析程序和结果报告等要求的指南。本标准适用于工业用轻质烯烃中水分的测定。SH/T 1763-2020氢化丁腈生橡胶(HNBR)中残留不饱和度的测定 碘值法 本标准规定了用韦氏(Wijs)试剂测定氢化丁腈生橡胶(HNBR)残留不饱和度(即碘值)的方法。 本标准适用于氢化丁腈生橡胶。SH/T 1814-2020乙烯-丙烯共聚物(EPM)和乙烯-丙烯-二烯烃三元共聚物(EPDM)中钒含量的测定 本标准规定了用分光光度法和电感耦合等离子体发射光谱法测定乙烯-丙烯共聚物(EPM)和乙烯-丙烯-二烯烃三元共聚物(EPDM)中钒含量的方法。 本标准适用于以齐格勒-纳塔型催化剂(铝-钒催化剂)生产的钒含量范围在0.5 µg/g~40 µg/g的乙丙橡胶。SH/T 3042-2020合成纤维厂供暖通风与空气调节设计规范 本标准规定了合成纤维(涤纶、锦纶、维纶、腈纶、氨纶)厂供暖、通风与空气调节设计的空气计算参数和设计要求。 本标准适用于新建、扩建和改建的合成纤维厂的生产厂房及辅助建筑物的供暖、通风与空气调节设计。SH/T 3523-2020石油化工铬镍不锈钢、铁镍合金、镍基合金及不锈钢复合钢焊接规范 本标准规定了铬镍不锈钢、铁镍合金、镍基合金、不锈钢复合钢的材料、焊接工艺评定、焊工考试、焊接工艺、焊接检验和焊后热处理要求。 本标准适用于石油化工、煤化工、天然气化工设备与管道的焊条电弧焊、钨极气体保护焊、熔化极气体保护焊和埋弧焊。SH/T 3545-2020石油化工管道工程无损检测标准本标准规定了石油化工金属管道射线检测、超声检测、磁粉检测、渗透检测、衍射时差法超声检测、相控阵超声检测和便携式荧光光谱检测的工艺要求及质量评定。本标准适用于下列管道无损检测的质量评定:1)公称厚度为2 mm~100 mm的金属管道对接焊接接头、支管连接焊接接头的射线检测与质量评定;2)公称厚度大于或等于6 mm、外径大于等于108 mm的碳钢和非奥氏体合金钢制管道对接焊接接头的超声检测与质量评定;3)铁磁性材料的表面和近表面缺陷磁粉检测与质量评定;4)表面开口缺陷的渗透检测与质量评定;5)公称厚度为16 mm~100mm、外径大于等于273 mm的碳钢和非奥氏体合金钢制管道对接焊接接头的衍射时差法超声检测与质量评定;6)公称厚度3.5 mm~60 mm、外径大于等于57 mm的碳钢和非奥氏体合金钢制管道对接焊接接头的相控阵超声检测与质量评定;奥氏体不锈钢管道对接焊接接头的相控阵超声检测与质量评定按附录M的规定进行;7)金属材料(包括熔敷金属)中金属元素的便携式荧光光谱检测。行业计量技术规范(部分)技术规范编号技术规范名称技术规范主要内容JJF(石化)030-202075℃热稳定性试验仪校准规范本校准规范适用于爆炸品分类用的75℃热稳定性试验装置的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)031-2020固体氧化性试验装置校准规范本规范适用于固体氧化性试验装置的校准,不适用于氧化性固体重量试验装置的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)032-2020易燃固体燃烧速率试验装置校准规范本校准规范适用于易燃固体燃烧速率试验装置的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)033-2020便携式挥发性有机物泄漏检测仪(氢火焰离子法)校准规范本规范适用于量程小于50000µmol/mol的便携式挥发性有机物(VOCs)泄漏检测仪(氢火焰离子法)的校准,其他相似原理和用途的仪器校准可参照本规范。其主要内容包含本规范的适用范围、引用的技术文件、计量性能、校准条件、校准方法、校准结果、校准时间间隔和不确定度评定示例等。JJF(石化)034-2020石油化工产品软化点试验仪(环球法)校准规范本规范适用于环球法测定软化点的软化点试验仪的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)035-2020漆膜弯曲试验仪(圆柱轴)校准规范本规范的校准适用于测试漆膜圆柱弯曲试验时用的漆膜弯曲试验仪。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)036-2020漆膜附着力测定仪(划圈法)校准规范本规范的校准适用于测试漆膜划圈试验用的漆膜附着力试验仪。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定度评定示例等。JJF(石化)037-2020橡胶门尼黏度计校准规范本规范规定了橡胶门尼黏度计的计量特性、校准条件、校准用设备及校准方法。本规范适用于橡胶门尼黏度计的校准。JJF(石化)038-2020硫化橡胶回弹性试验机校准规范本规范规定了硫化橡胶回弹性试验机的计量特性、校准条件、校准用设备及校准方法。本规范适用于硫化橡胶回弹性试验机的校准。JJF(石化)039-2020橡胶阿克隆磨耗试验机校准规范本规范适用于橡胶阿克隆磨耗试验机的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定评定示例等。JJF(石化)040-2020橡胶压缩应力松弛仪校准规范本规范适用于橡胶压缩应力松弛仪的校准。其主要内容包括本规范的适用范围、引用的技术文件、计量特性、校准条件、校准项目和方法、校准结果的表示方法及不确定评定示例等。
  • 半导体行业湿电子化学品常用检测仪器及技术盘点
    湿电子化学品是半导体、集成电路等多个领域的重要基础性关键化学材料,是当今世界发展速度较快的产业领域。我国湿电子化学品2012年市场规模仅为34.81亿元,到2018年已增至79.62亿元,而2021年湿电子化学品市场规模预计超过100亿元。湿电子化学品(又称电子级试剂、超净高纯化学试剂、工艺化学品、湿化学品等)一般主体成分纯度大于99.99%,是电子行业湿法制程的关键材料,常用于湿法刻蚀、清洗等微电子、光电子湿法工艺制程,约占集成电路制造成本的5%。湿电子化学品湿电子化学品可分为通用性湿电子化学品和功能性湿电子化学品。通用湿电子化学品一般为单组份、单功能、被大量使用的液体化学品,包括酸、碱、有机溶剂等,常用于集成电路、液晶显示器、太阳能电池、LED制造工艺等;功能湿电子化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的复配类化学品,包括蚀刻液、清洗液、光刻配套试剂等,常用于半导体刻蚀、清洗等工艺中。常见湿电子化学品(数据自中国电子材料行业协会)类别湿电子化学品约占湿电子化学品总需求比例(%)合计占比估计通用湿电子化学品过氧化氢16.70%88.20%氢氟酸16%硫酸15.30%硝酸14.30%磷酸8.70%盐酸4.80%氢氧化钾3.80%氨水3.70%异丙酮2.80%醋酸1.90%功能湿电子化学品MEA等极佳溶液3.20%11.80%显影液(半导体用)2.70%蚀刻液(半导体用)2.20%显影液(液晶面板用)1.60%剥离液(半导体用)1.20%缓冲刻蚀液(BOE)0.90%湿电子化学品的国际分类标准国际半导体设备和材料协会(SEMI)根据金属杂质、控制粒径、颗粒个数和应用范围等制定了湿电子化学品国际等级分类标准。Grade1等级湿电子化学品常用于光伏太阳能电池等领域;Grade2等级湿电子化学品常用于平板显示、LED、分立器件等领域;Grade3等级湿电子化学品常用于平板显示、LED、集成电路等;Grade4等级湿电子化学品常用于集成电路等领域。 IC制造不同线宽对应湿电子化学品国际等级分类标准SEMI等级IC线宽(μm)金属杂质(10-9)控制粒径(μm)颗粒(个/mL)C1(Grade1)>1.2≤1000≤1≤25C7(Grade2)0.8-1.2≤10≤0.5≤25C8(Grade3)0.2-0.6≤1≤0.5≤5C12(Grade4)0.09-0.2≤0.1≤0.2*Grade5*≤0.01**国际湿电子化学品市场国际湿电子化学品市场份额的80%主要被德国的E.Merck 公司、美国的Ashland 公司、Sigma-Aldrich 公司、Mallinckradt Baker 公司、日本的Wako 、Summitomo 等占据。欧美传统老牌企业的湿电子化学品产品市场份额(以销售额计)约为34%,主要企业有德国巴斯夫公司、美国亚什兰集团、亚什兰化学公司、美国Arch 化学品公司、美国霍尼韦尔公司、AIR PRODUCTS、德国E.Merck 公司、美国Avantor Performance Materials 公司、ATMI 公司等。日本企业约占30%的市场份额,主要企业关东化学公司、三菱化学、京都化工、日本合成橡胶、住友化学、和光纯药工业(Wako)、stella-chemifa 公司等。中国台湾、韩国、中国大陆企业(即内资企业)约占全球市场份额的35%。全球湿电子化学品行业主要企业国家及地区企业名称美国霍尼韦尔、ATMI、Arch化学品、亚仕兰集团、空气化工产品、Avantor™ Performance Materials德国巴斯夫、汉高、E.Merck日本关东化学、三菱化学、京都化学、东京应化、住友化学、宇部兴产、Stella Chemifa、Wako、日本合成橡胶韩国东友精细化工、东进世美肯、soulbrain ENG中国台湾台湾联仕电子、台湾侨力 国内湿电子化学品研究 自1980 年北京化学试剂研究所在国内率先研制成功适合5µm技术用的MOS级试剂开始,经过数十年积累,国内湿电子化学品企业陆续获得了 G1、G2 等级的化学试剂生产技术,少数部分技术领先企业已经具备 G2 等级化学试剂规模化生产的能力,部分产品的关键技术指标已经达到了国际G3 标准的水平。2010 年之后,技术领先企业的部分产品具备了 G3 等级的生产技术,行业进入快速发展阶段。国内的湿电子化学品目前主要生产G2、G3级别,仅部分达到G4级别,产品主要进口自欧美、日本、韩国、中国台湾的企业。湿电子化学品常用检测仪器与技术湿电子化学品的纯度和洁净度对于电子元器件产品的成品率、性能和可靠性有重要影响。仪器信息网特将湿电子化学品纯度及杂质分析和颗粒检测常用的仪器进行整理。湿电子化学品常用检测仪器常用仪器用途对应仪器专场(点击进入)粒度仪颗粒分析等粒度仪仪器专场电感耦合等离子体—质谱仪(ICP-MS)纯度和杂质分析等电感耦合等离子体—质谱仪(ICP-MS)仪器专场离子色谱纯度和杂质分析等离子色谱仪器专场电位滴定仪纯度和杂质分析等电位滴定仪仪器专场紫外可见分光光度计纯度和杂质分析等紫外可见分光光度计仪器专场液相色谱纯度和杂质分析等液相色谱仪器专场液质联用纯度和杂质分析等液质联用仪器专场
  • 2021上半年国产半导体设备商IPO情况盘点
    伴随全球信息化、网络化和知识经济的迅速发展,特别是在以物联网、人工智能、汽车电子、智能手机、智能穿戴、云计算、大数据和安防电子等为主的新兴应用领域强劲需求的带动下,全球半导体产业收入规模巨大。2018年全球半导体行业收入为4761.51亿美元,2019年受全球宏观经济低迷影响,半导体行业景气度有所下降,收入同比下降11.97%,为4191.48亿美元,预计2021年半导体行业开始复苏,2024 年预计全球半导体行业收入将达到 5727.88 亿美元。纵观全球半导体产业的发展历程,经历了由美国向日本、向韩国和中国台湾地区及中国大陆的几轮产业转移。目前中国大陆已成为全球最重要的半导体应用和消费市场之一。根据国际半导体协会(SEMI)的统计数据,2017年到2020年期间,全球将有62座新晶圆厂投产,其中将有26座新晶圆厂座落中国大陆,占比达42%。新晶圆厂从建立到生产的周期大概为2年,未来几年将是中国大陆半导体产业半导体设备在半导体行业产业链中占据重要的地位。半导体设备的技术复杂,客户对设备的技术参数、运行稳定性有苛刻的要求,以保障生产效率、质量和良率。按照摩尔定律,当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍。相应的,集成电路行业的设备供应商也必须每隔 18-24 个月推出更先进的制造工艺;集成电路制造工艺的技术进步,反过来也会推动半导体专用设备企业不断追求技术革新。同时,集成电路行业的技术更新迭代也带来对于设备投资的持续性需求,而半导体专用设备的技术提升,也推动了集成电路行业的持续快速发展的快速发展期。集成电路产业面临着新型芯片或先进工艺的产能扩张需求,为半导体设备行业带来广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。上半年已有多家半导体设备商筹备会完成乐IPO之路。屹唐半导体设备IPO获受理屹唐股份科创板IPO申请近日获得上交所受理。屹唐股份是一家总部位于中国,以中国、美国、德国三地作为研发、制造基地,面向全球经营的半导体设备公司,主要从事晶圆加工设备的研发、生产和销售。2020年,屹唐股份干法去胶设备、快速热处理设备市占率分别为全球第一、第二。据招股书介绍,屹唐半导体主要设备相关技术达到国际领先水平,产品已应用在多家国际知名集成电路制造商生产线上并实现大规模装机。该公司干法去胶设备、快速热处理设备主要可用于90纳米到5纳米逻辑芯片、10纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产;干法刻蚀设备主要可用于65纳米到5纳米逻辑芯片、10纳米系列DRAM芯片以及32层到128层3D闪存芯片制造中若干关键步骤的大规模量产。屹唐股份此次拟募资30亿元,投向屹唐半导体集成电路装备研发制造服务中心项目、高端集成电路装备研发项目以及发展和科技储备资金。本次股票发行后拟在上交所科创板上市。芯碁微装成功登录科创板4月1日,芯碁微装成功登陆科创板,成为“国产光刻设备第一股”。据了解,芯碁微装是专业的光刻设备供应商,专注服务于电子信息产业中PCB领域及泛半导体领域的客户,为客户提供直接成像设备、直写光刻设备以及相应的维保服务。经过多年的深耕与积累,芯碁微装累计服务近70家客户,包括深南电路、健鼎科技、胜宏科技、景旺电子、维信诺、中电科、佛智芯、沃格光电、矽迈微电子、中国科学院半导体研究所、中国工程物理研究院激光聚变研究中心、中国电子科技集团公司第十一研究所等知名企业和研究机构。芯碁微装拟将IPO募集资金用于高端PCB激光直接成像(LDI)设备升级迭代项目、晶圆级封装(WLP)直写光刻设备产业化项目、平板显示(FPD)光刻设备研发项目和微纳制造技术研发中心建设项目。通过上述项目的实施,芯碁微装将进一步满足下游不断发展的光刻设备应用需求,为未来业绩的增长和业务发展打下坚实的基础。盛美半导体科创板IPO已提交注册作为国内半导体清洗设备龙头企业,盛美半导体设备(上海)股份有限公司上市之路一直备受关注。近日,盛美半导体科创板上市已正式提交注册。盛美半导体主要从事半导体专用设备的研发、生产和销售,主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等。其坚持差异化竞争和创新的发展战略,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球晶圆制造、先进封装及其他客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。盛美半导体拟募资18亿元用于盛美半导体设备研发与制造中心、盛美半导体高端半导体设备研发项目和补充流动资金。中科仪主动终止科创板IPO2021年5月12日,中科仪保荐人招商证券股份有限公司向上交所提交了《招商证券股份有限公司关于撤回中国科学院股份有限公司首次公开发行股票并在科创板上市申请文件的申请》,中科仪向上交所提交了《中国科学院沈阳科学仪器股份有限公司关于撤回首次公开发行股票并在科创板上市申请文件的申请》(沈科仪发〔2021〕11号),申请撤回申请文件。根据《上海证券交易所科创板股票发行上市审核规则》第六十七条的有关规定,上交所决定终止对中科仪首次公开发行股票并在科创板上市的审核。中科仪主要从事干式真空泵、真空仪器设备的研发、生产和销售,并提供相关技术服务。干式真空泵是半导体制造工艺设备的核心附属设备,为集成电路、光伏、LED、平板显示、锂电池等行业的生产设备提供所必需的高度洁净真空环境。公司真空仪器设备产品主要包括大科学装置、真空薄膜仪器设备、新材料制备设备三大类。中科仪原拟募集资金77100.02万元,其中57100.02万元用于干式真空泵产业化建设项目,20000.00万元用于补充营运资金。华海清科科创板IPO成功过会6月17日,据上交所科创板上市委2021年第39次审议会议结果显示,华海清科科创板IPO成功过会,将于上交所科创板上市。据招股书显示,华海清科是一家拥有核心自主知识产权的高端半导体设备制造商,主要从事半导体专用设备的研发、生产、销售及技术服务,主要产品为化学机械抛光(CMP)设备。CMP是先进集成电路制造前道工序、先进封装等环节必需的关键制程工艺,公司所生产CMP设备可广泛应用于12英寸和8英寸的集成电路大生产线,产品总体技术性能已达到国际先进水平。公司推出了国内首台拥有核心自主知识产权的12英寸CMP设备并实现量产销售,是目前国内唯一一家为集成电路制造商提供12英寸CMP商业机型的高端半导体设备制造商;公司所产主流机型已成功填补国内空白,打破了国际巨头在此领域数十年的垄断,有效降低了国内下游客户采购成本及对国外设备的依赖,支撑国内集成电路产业的快速发展。华海清科首次公开发行的股票不超过2666.67万股,占发行后总股本的25.00%。据招股书显示,华海清科拟募集资金10亿元,此次募集的资金将用于高端半导体装备(化学机械抛光机)产业化、高端半导体装备研发、晶圆再生扩产升级、补充流动资金等项目。汇成真空拟A股IPO 已进行上市辅导备案近日,广东监管局披露了关于广东汇成真空科技股份有限公司(以下简称:汇成真空)辅导备案登记受理信息,其辅导机构为东莞证券,已于6月21日办理了辅导备案登记。据了解,汇成真空是一家面向全球的真空应用解决方案提供商,研发、生产和销售各类真空设备、半导体设备、电子生产设备、光电设备、光伏设备、动力电池设备及产品相关配件的国家高新技术企业,专注设备与产品的相关制造工艺和应用技术、控制软件、工艺流程控制软件及相关生产自动化软件的研发、应用,并提供技术转让、技术咨询和技术服务。目前众多半导体设备商正踊跃寻求IPO,以期抓住中国半导体行业的快速发展机遇,充分发挥公司已有市场地位、技术优势、工艺积累和行业经验,密切关注全球半导体专用设备行业的前沿技术,确保公司产品品质、核心技术始终处于中国行业领先地位,并奋力赶超全球先进水平。同时,这些半导体设备企业也将在现有产品的基础上实现产品性能和技术升级,持续跟踪新兴终端市场的变化,确保公司产品与市场需求有效结合。
  • 中美科技竞争白热化 半导体检测仪器如何发挥关键作用?
    p  近日,美国防部再次对监管的11项关键技术进行战略调整,其中微电子和5G分别提升至第一和第二位。有评论称,此举意图保持对华在半导体领域的竞争优势,进一步扩大双方在该领域的差距。/pp  芯片产业主要包含芯片设计、制造和封测三大工艺环节。我国芯片制造方面相比国外尤为落后,不仅原材料进口依赖严重,高端制造设备如光刻机等也主要依赖进口。国内晶圆制造企业以中芯国际、华润微电子等为代表,然而其技术相比国际先进水平仍有较大的差距。/pp  根据国际半导体产业协会估算,2018~2020年中国半导体制造设备投资额约为人民币1550亿元、人民币1604亿元、人民币1702亿元。检测设备约占总设备投资的17%(其中,晶圆检测部份为9%,过程工艺控制为8%)。因此,2018~2020年中国大陆检测设备需求分别为人民币264亿元、人民币273亿元、人民币289亿元。/pp  科学仪器当前在半导体产业中应用广阔,其中包括质谱仪、光谱仪、色谱仪、光学检测仪、电化学仪器等。半导体检测仪器不仅可用于特种气体、硅片、靶材、光刻胶等半导体原材料分析,也可以用于半导体制程工艺控制。/pp  半导体检测主要分为前道检测和后道检测环节,其中前道检测主要为光学检测,主要测试仪器为椭偏仪、扫描电子显微镜、原子力显微镜等,用于测试芯片制成尺寸、材料性质,如芯片杂质、晶圆缺陷等 后道检测主要为电学检测,分为CP测试和FT测试,主要测试仪器为探针台、测试台、拣选器等。/pp  strong半导体检测是提高产线良率、提高竞争实力的关键/strong/pp  半导体检测贯穿于产品生产制造流程始终,通过分析检测数据检验产品参数是否符合设计需求,从而达到减少缺陷、提升产线良率的目的。半导体良率的提升直接影响厂商的生产成本和订单获取能力,是厂商市场竞争能力的关键影响因素。/ppbr//pp  a href="https://www.instrument.com.cn/webinar/meetings/X0723/" target="_self"img style="max-width: 100% max-height: 100% width: 600px height: 131px " src="https://img1.17img.cn/17img/images/202007/uepic/8966247d-f55f-4675-b8d4-e2f00f2c4a37.jpg" title="80e34259-51c2-44d9-9b6b-fc20ca7344a6.jpg" alt="80e34259-51c2-44d9-9b6b-fc20ca7344a6.jpg" width="600" height="131" border="0" vspace="0"//a/ppbr//p
  • 加野与您相约第十届中国半导体设备年会 (CSEAC)
    为进一步推动我国半导体装备产业的发展,由中国电子专用设备工业协会主办的以 凝聚“芯”合力、发展“芯”设备为主题的第十届(2022)中国半导体设备年会暨半导体设备与核心部件展示会(CSEAC),将于2022年8月20-22日 在无锡太湖国际博览中心举办。KANOMAX作为微电子制造环境检测仪器的供应商,应邀参加本次大会,为广大用户带来了半导体设备精密测试解决方案及相关检测设备,如尘埃粒子计数器、在线监测系统、智能型环境测试仪、风量罩、超小型精密压力表系列等,是电子制造行业环境检测不可缺少的关键产品。 会议内容一、高峰论坛:1、2021年中国半导体设备行业经济运行分析和2022年发展展望2、国产集成电路晶圆制造装备、先进封装制造装备、化合物半导体制造装备现状和发展趋势3、国产集成电路现状和发展趋势4、太阳能电池制造装备现状和发展趋势5、半导体设备关键零部件产业发展现状和前景分析 二、专题论坛1、极大规模集成电路关键设备的研发与应用最新进展2、3D TSV 封装设备研发与量产和晶圆级封装CSP等新兴技术3、Mini LED/Micro LED生产线设备的国产化新进展4、高转换效率、低成本、全自动太阳能电池关键工艺装备的产业化5、半导体制造装备核心技术、共性技术和关键部件的自主创新与产业化 本届大会,参会人员有国家科技重大专项的领导和专家、重大项目承担单位、有关行业协会、产业联盟领导和专家;半导体制造装备和集成电路、LED、 太阳能电池生产企业、科研院所及核心部件供应商负责人和工程技术人员;国内外知名半导体专家等。 第十届(2022)中国半导体设备年会暨半导体设备与核心部件展示会(CSEAC)会议时间:2022年8月20-22日会议地点:无锡太湖国际博览中心加野展位:B3-83 加野诚挚邀请您莅临参观指导,谢谢!
  • 默克布局半导体设备领域!1.55 亿欧元收购半导体量检测设备商Unity SC
    近日,德国制药巨头默克(Merck)全球健康创新基金计划以1.55亿欧元(约折合 12.27 亿人民币)的首期付款加上里程碑付款,收购半导体行业计量和检测仪器供应商Unity SC。 默克集团创建于 1668 年,拥有约 350 年历史,总部位于德国达姆施塔特市。该集团致力于创新型制药、生命科学以及前沿功能材料技术,以技术为驱动力,为患者和客户创造价值。默克在全球 67 个国家和地区拥有 154 个分支机构以及 38,000 名员工。其业务主要分为四大分支:默克雪兰诺业务分支专注于包括生殖、肿瘤、心血管等领域的处方药研发;消费者保健业务分支推动研究和创新,旗下品牌行销全球 100 多个国家;默克密理博业务分支为全球生命科学用户提供完整的产品线和强大的创新能力;功能性材料业务分支则提供液晶显示屏、效果颜料等特殊化学制品。2023 年 6 月,默克在《福布斯全球企业 2000 强》榜单中排名第 73 位。默克公司表示,此次收购扩大了其推进人工智能应用所需的关键技术组合。默克执行董事会成员兼电子业务首席执行官凯贝克曼(Kai Beckmann)说道:“我们坚信 3D 计量工具将进一步推动半导体行业的发展。将计量技术纳入我们的产品组合,使我们能够提供更多的材料和更多的解决方案,有效地解决客户在开发速度更快、功能更强大、效率更高的芯片时所面临的挑战。” 默克在半导体量检测设备行业的重要战略布局,不仅扩大了默克的业务版图,也将为默克的发展带来新的机遇,同时也可能对整个半导体行业产生深远影响。据悉,UnitySC 是一家总部位于法国的半导体计量和检测设备提供商。它将先进的自动光学检测和 3D 成像技术,与深入的焦点线扫描、时间模式干涉测量、光谱测量和相移分析相结合,提供适应特定工业需求和限制的标准和定制解决方案,此外提供专用于其他类型高端工艺的全套设备,特别是化合物半导体、透明基板或特殊设备的图案化和非图案化缺陷检测。UnitySC 是半导体量检测领域的全球领导者,拥有约 160 名员工,其中 70 名为研发工作人员。其提供的精密计量测量设备能够优化质量和产量,可用于优化人工智能、高性能计算领域以及高带宽存储器应用的芯片制造成本。目前这一收购仍需与法国劳资委员会协商,并获得相关当局的批准。据悉,该交易预计将在今年年底前完成,后续进展备受业界关注。
  • 盘点|半导体常用失效分析检测仪器
    失效分析是芯片测试重要环节,无论对于量产样品还是设计环节亦或是客退品,失效分析可以帮助降低成本,缩短周期。常见的半导体失效都有哪些呢?下面为大家整理一下:显微镜分析OM无损检测金相显微镜OM:可用来进行器件外观及失效部位的表面形状,尺寸,结构,缺陷等观察。金相显微镜系统是将传统的光学显微镜与计算机(数码相机)通过光电转换有机的结合在一起,不仅可以在目镜上作显微观察,还能在计算机(数码相机)显示屏幕上观察实时动态图像,电脑型金相显微镜并能将所需要的图片进行编辑、保存和打印。金相显微镜可供研究单位、冶金、机械制造工厂以及高等工业院校进行金属学与热处理、金属物理学、炼钢与铸造过程等金相试验研究之用,实现样品外观、形貌检测 、制备样片的金相显微分析和各种缺陷的查找等功能。体视显微镜OM无损检测体视显微镜,亦称实体显微镜或解剖镜。是一种具有正像立体感的目视仪器,从不同角度观察物体,使双眼引起立体感觉的双目显微镜。对观察体无需加工制作,直接放入镜头下配合照明即可观察,成像是直立的,便于操作和解剖。视场直径大,但观察物要求放大倍率在200倍以下。体视显微镜可用于电子精密部件装配检修,纺织业的品质控制、文物 、邮票的辅助鉴别及各种物质表面观察等领域,实现样品外观、形貌检测 、制备样片的观察分析、封装开帽后的检查分析和晶体管点焊检查等功能。X-Ray无损检测X-Ray是利用阴极射线管产生高能量电子与金属靶撞击,在撞击过程中,因电子突然减速,其损失的动能会以X-Ray形式放出。而对于样品无法以外观方式观测的位置,利用X-Ray穿透不同密度物质后其光强度的变化,产生的对比效果可形成影像,即可显示出待测物的内部结构,进而可在不破坏待测物的情况下观察待测物内部有问题的区域。X-Ray可用于产品研发,样品试制,失效分析,过程监控和大批量产品观测等,实现观测DIP、SOP、QFP、QFN、BGA、Flipchip等不同封装的半导体、电阻、电容等电子元器件以及小型PCB印刷电路板,观测器件内部芯片大小、数量、叠die、绑线情况,芯片crack、点胶不均、断线、搭线、内部气泡等封装缺陷,以及焊锡球冷焊、虚焊等焊接缺陷等功能。C-SAM(超声波扫描显微镜)无损检测超声扫描显微镜是一种利用超声波为传播媒介的无损检测设备。在工作中采用反射或者透射等扫描方式来检查材料内部的晶格结构,杂质颗粒、夹杂物、沉淀物、内部裂纹、分层缺陷、空洞、气泡、空隙等。I/V Curve量测可用于验证及量测半导体电子组件的电性、参数及特性。比如电压-电流。集成电路失效分析流程中,I/V Curve的量测往往是非破坏分析的第二步(外观检查排在第一步),可见Curve量测的重要性。I/V Curve量测常用于封装测试厂,SMT领域等,实现Open/Short Test、 I/V Curve Analysis、Idd Measuring和Powered Leakage(漏电)Test功能。SEM扫描电镜/EDX能量弥散X光仪(材料结构分析/缺陷观察,元素组成常规微区分析,精确测量元器件尺寸)扫描电镜(SEM)SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可直接利用样品表面材料的物质性能进行微观成像。EDX是借助于分析试样发出的元素特征X射线波长和强度实现的,根据不同元素特征X射线波长的不同来测定试样所含的元素。通过对比不同元素谱线的强度可以测定试样中元素的含量。通常EDX结合电子显微镜(SEM)使用,可以对样品进行微区成分分析。在军工,航天,半导体,先进材料等领域中,SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可实现材料表面形貌分析,微区形貌观察,材料形状、大小、表面、断面、粒径分布分析,薄膜样品表面形貌观察、薄膜粗糙度及膜厚分析,纳米尺寸量测及标示和微区成分定性及定量分析等功能EMMI微光显微镜微光显微镜(Emission Microscope, EMMI)是常用漏电流路径分析手段。对于故障分析而言,微光显微镜(Emission Microscope, EMMI)是一种相当有用且效率极高的分析工具。主要侦测IC内部所放出光子。在IC元件中,EHP(Electron Hole Pairs)Recombination会放出光子(Photon)。如在P-N结加偏压,此时N阱的电子很容易扩散到P阱,而P的空穴也容易扩散至N,然后与P端的空穴(或N端的电子)做EHP Recombination。在故障点定位、寻找近红外波段发光点等方面,微光显微镜可分析P-N接面漏电;P-N接面崩溃;饱和区晶体管的热电子;氧化层漏电流产生的光子激发;Latch up、Gate Oxide Defect、Junction Leakage、Hot Carriers Effect、ESD等问题Probe Station 探针台测试探针台主要应用于半导体行业、光电行业。针对集成电路以及封装的测试。 广泛应用于复杂、高速器件的精密电气测量的研发,旨在确保质量及可靠性,并缩减研发时间和器件制造工艺的成本,可用于Wafer,IC测试,IC设计等领域。FIB(Focused Ion beam)线路修改FIB(聚焦离子束,Focused Ion beam)是将液态金属离子源产生的离子束经过离子枪加速,聚焦后照射于样品表面产生二次电子信号取得电子像,此功能与SEM(扫描电子显微镜)相似,或用强电流离子束对表面原子进行剥离,以完成微、纳米级表面形貌加工。在工业和理论材料研究,半导体,数据存储,自然资源等领域,FIB可以实现芯片电路修改和布局验证、Cross-Section截面分析、Probing Pad、 定点切割、切线连线,切点观测,TEM制样,精密厚度测量等功能。失效分析前还有一些必要的样品处理过程。取die用酸法去掉塑封体,漏出die decap(开封,开帽)利用芯片开封机实现芯片开封验证SAM,XRAY的结果。Decap即开封,也称开盖,开帽,指给完整封装的IC做局部腐蚀,使得IC可以暴露出来,同时保持芯片功能的完整无损,保持 die,bond pads,bond wires乃至lead-frame不受损伤,为下一步芯片失效分析实验做准备,方便观察或做其他测试(如FIB,EMMI), Decap后功能正常。化学开封Acid DecapAcid Decap,又叫化学开封,是用化学的方法,即浓硫酸及发烟硝酸将塑封料去除的设备。通过用酸腐蚀芯片表面覆盖的塑料能够暴露出任何一种塑料IC封装内的芯片。去除塑料的过程又快又安全,并且产生干净无腐蚀的芯片表面。研磨RIERIE是干蚀刻的一种,这种蚀刻的原理是,当在平板电极之间施加10~100MHZ的高频电压(RF,radio frequency)时会产生数百微米厚的离子层(ion sheath),在其中放入试样,离子高速撞击试样而完成化学反应蚀刻,此即为RIE(Reactive Ion Etching)。 自动研磨机自动研磨机适用于高精微(光镜,SEM,TEM,AFM,ETC)样品的半自动准备加工研磨抛光,模块化制备研磨,平行抛光,精确角抛光,定址抛光或几种方式结合抛光,主要应用于半导体元器件失效分析,IC反向等领域,实现断面精细研磨及抛光、芯片工艺分析、失效点的查找等功能。 其可以预置程序定位切割不同尺寸的各种材料,可以高速自动切割材料,提高样品生产量。其微处理系统可以根据材料的材质、厚度等调整步进电动机的切割距离、力度、样品输入比率和自动进刀比率等。去金球 De-gold bump,去层,染色等,有些也需要相应的仪器机台,SEM可以查看die表面,SAM以及X-Ray观察封装内部情况以及分层失效。除了常用手段之外还有其他一些失效分析手段,原子力显微镜AFM ,二次离子质谱 SIMS,飞行时间质谱TOF - SIMS ,透射电镜TEM , 场发射电镜,场发射扫描俄歇探针, X 光电子能谱XPS ,L-I-V测试系统,能量损失 X 光微区分析系统等很多手段,不过这些项目不是很常用。芯片失效分析步骤:1、非破坏性分析:主要是超声波扫描显微镜(C-SAM)--看有没delamination,xray--看内部结构,等等;2、电测:主要工具,万用表,示波器,sony tek370a3、破坏性分析:机械decap,化学 decap芯片开封机4、半导体器件芯片失效分析 芯片內部分析,孔洞气泡失效分析(原作者:北软失效分析赵工)
  • 15家!2022年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2022年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn)。由于上半年已盘点过部分企业的上市情况,对于未发生情况变更的企业,可直接查看链接:2022上半年国产半导体设备商IPO情况盘点市值130亿元,微导纳米登陆科创板2022年3月3日,江苏微导纳米科技股份有限公司(以下简称:微导纳米)再度闯关科创版。微导纳米此前两次冲刺A股上市均无疾而终,此后更换了辅导机构。微导纳米掌握ALD核心技术,此前面临关联交易质疑,以及专利纷争。撤回IPO申请一年之后,微导纳米整装再出发,以全新股东阵容冲刺科创板,25名股东中不仅包含11家私募基金股东,其中更不乏君联资本、高瓴投资等明星机构的身影。拟募资规模也提高了1倍,由前次的5亿元调至10亿元,主要用于基于原子层沉积技术的光伏及柔性电子设备扩产升级、集成电路高端装备产业化应用中心等项目和补充流动资金。其中,补充流动资金预计金额为1.5亿元。2022年12月23日, 微导纳米正式以“688147”为股票代码在科创板挂牌上市。截至当日10:40,微导纳米报于每股28.55元,较发行价上涨17.93%,市值超129.62亿元。资料显示,微导纳米成立于2015年12月25日,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售, 向下游客户提供先进薄膜沉积设备与相关改造服务及备品备件。拓荆科技正式登陆科创板详情请查看:2022上半年国产半导体设备商IPO情况盘点中科飞测赴科创板IPO详情请查看:2022上半年国产半导体设备商IPO情况盘点华海清科登陆科创板详情请查看:2022上半年国产半导体设备商IPO情况盘点联动科技成功登陆创业板9月22日,联动科技(301369)成功登陆创业板,公司此次募集资金将用于投入半导体封装测试设备产业化扩产建设项目、半导体封装测试设备研发中心建设项目、营销服务网络建设项目、补充营运资金等,项目落地后将进一步扩充半导体自动化测试系统的产能、提升公司研发实力和核心技术产业化能力并提升全球销售网络的覆盖。联动科技成立于1998年,专注于半导体行业后道封装测试领域专用设备的研发、生产和销售,主要产品包括半导体自动化测试系统、激光打标设备及其他机电一体化设备,由于所处行业为技术密集型,公司自成立之初就将自主研发和科技创新作为企业发展的核心竞争力,将行业前沿的技术与创新思维相结合,力图不断实现半导体专用设备相关产品及技术的革新。联动科技作为国内少数能够提供全自主研发配套半导体自动化测试系统的设备供应商以及国内测试能力和测试功能模块覆盖面最广的半导体分立器件测试系统供应商之一,其近年来快速发展,招股书显示,联动科技目前在国内半导体分立器件测试系统市场占有率在20%以上,在模拟及数模混合集成电路测试领域的市场开拓情况良好,2019年-2021年营业收入分别为1.48亿元、2.02亿元、3.44亿元,实现净利润分别为3174.01万元、6076.28万元、1.28亿元,保持较快增长。恒普科创板IPO被终止8月25日晚间,上交所官网显示,宁波恒普真空科技股份有限公司(以下简称“恒普科技”)科创板IPO终止。上交所表示,由于恒普科技撤回了其发行上市申请,保荐人方正证券承销保荐有限责任公司撤销保荐。根据《上海证券交易所科创板股票发行上市审核规则》第六十七条规定,上交所终止其发行上市审核。恒普曾计划募资3.52亿元,其中,1.82亿元用于宽禁带半导体及金属粉末材料用高端热工装备扩产项目,1亿元用于研发中心建设项目,7000万用于补充流动资金。恒普是中国主要烧结炉制造厂商之一,其在金属粉末注射成形(MetalInjectionMolding)用烧结炉有优势,恒普除MIM用烧结炉外,硬质合金、热处理、非氧化陶瓷、增材制造(AM)、晶体生长、半导体、实验室等行业用炉或设备,具有丰富的设计及制造经验。招股书显示,恒普2018年、2019年、2020年营收分别为9044万元、1.85亿元、2.15亿元;净利分别为1045.97万元、2747.8万元、3176万元。晶升装备冲刺科创板上市11月11日,南京晶升装备股份有限公司(以下简称“”)发布首次公开发行股票并在科创板上市招股说明书(注册稿)。本次冲刺科创板上市,晶升装备计划募资4.76亿元。其中,2.73亿元用于总部生产及研发中心建设项目,2.02亿元用于半导体晶体生长设备总装测试厂区建设项目,实施主体分别为晶升装备、晶升半导体。晶升装备是一家成立于2012年2月的半导体专用设备供应商,专业从事8-12英寸半导体级硅单晶炉、6-8英寸碳化硅、砷化镓等半导体材料长晶设备及工艺开发的企业。公司产品主要包括半导体级单晶硅炉、碳化硅单晶炉和蓝宝石单晶炉等定制化的晶体生长设备。截至招股书签署日,晶升装备享有已授权国内专利76项,其中发明专利27项。晶升装备表示,该公司承担了“江苏省科技项目—12英寸半导体硅单晶炉研发高端装备研制赶超工程项目—12英寸半导体硅单晶炉”等项目。矽电股份已回复第二轮审核问询函矽电半导体设备(深圳)股份有限公司于12月13日更新上市申请审核动态,该公司已回复第二轮审核问询函,回复的问题主要有,关于创业板定位,关于客户关联方入股,关于房产租赁等。据悉,矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,系境内领先的探针测试技术系列设备制造企业。探针测试技术主要应用于半导体制造晶圆检测(CP, Circuit Probing)环节,也应用于设计验证和成品测试(FT, Final Test)环节,是检测芯片性能与缺陷,保证芯片测试准确性,提高芯片测试效率的关键技术。公司自主研发了多种类型应用探针测试技术的半导体设备,产品已广泛应用于集成电路、光电芯片、分立器件、第三代化合物半导体等半导体产品制造领域。公司已成为中国大陆规模最大的探针台设备制造企业。矽电股份创业板上市计划发行不超过 1043.1819 万股,计划募资约5.56亿元。募投项目为“探针台研发及产业基地建设项目”、“分选机技术研发项目”、“营销服务网络升级建设项目”、补充流动资金。精智达科创板IPO成功过会11月16日,据科创板上市委2022年第89次审议会议结果显示,深圳精智达技术股份有限公司(简称:精智达)科创板IPO成功过会。据了解,精智达是检测设备与系统解决方案提供商,主要从事新型显示器件检测设备的研发、生产和销售业务,产品广泛应用于以 AMOLED 为代表的新型显示器件制造中光学特性、显示缺陷、电学特性等功能检测及校准修复,并逐步向半导体存储器件测试设备领域延伸发展。精智达此次募集资金6亿元,其中,1.98亿元用于新一代显示器件检测设备研发项目;1.62亿元用于新一代半导体存储器件测试设备研发项目;补充流动资金2.40亿元。卓海科技已回复第二轮审核问询函无锡卓海科技股份有限公司于12月12日更新上市申请审核动态,该公司已回复第二轮审核问询函,回复的问题主要有,关于创业板定位及核心技术,关于历史沿革,关于员工持股平台等。卓海科技拟在深交所创业板上市募资5.47亿元,其中,1.04亿元用于半导体前道量检测设备扩产项目,1.84亿元用于研发中心建设项目,2.6亿元用于补充流动资金。卓海科技成立于2009年,十多年来始终专注于半导体前道检测与量测设备领域的研发、制造、修理、技术服务等,为客户提供检测与量测设备领域全方位、整体化的解决方案,从前期的选型,到后期的设备维护、备件维修等等,可以满足客户对各种特殊材质晶圆以及保障良率的量测需求。耐科装备成功登陆科创板11月7日,耐科装备正式登陆上交所科创板。公开资料显示,耐科装备成立于2005年10月,主要从事应用于塑料挤出成型及半导体封装领域的智能制造装备的研发、生产和销售,为客户提供定制化的智能制造装备及系统解决方案。具体产品为塑料挤出成型模具、挤出成型装置及下游设备、半导体封装设备及模具。其中,主营业务之一的塑料挤出成型模具、挤出成型装置及下游设备以外销为主。耐科装备凭借独到的设计理念、成熟的工艺技术、过硬的产品质量、丰富的调试经验和完善的售后服务,成功将塑料挤出成型模具、挤出成型装置及下游设备远销全球40多个国家,服务于德国Profine GmbH、德国Aluplast GmbH、美国 Eastern Wholesale Fence LLC、德国Rehau Group、比利时Deceuninck NV等众多全球塑料门窗著名品牌,出口规模连续多年位居我国同类产品首位。根据招股书,耐科装备本次拟发行2050万股,募集资金约7.76亿元,用于高端塑料型材挤出装备升级扩产项目、半导体封装装备新建项目、先进封装设备研发中心项目以及补充流动资金。金海通主板IPO获通过11月10日,据中国证监会第十八届发审委2022年第126次会议审核结果显示,天津金海通半导体设备股份有限公司(简称:金海通)主板IPO获通过。金海通是一家从事研发、生产并销售半导体芯片测试设备的高新技术企业,属于集成电路和高端装备制造产业,公司深耕集成电路测试分选机(Test handler)领域,主要产品测试分选机销往中国大陆、中国台湾、欧美、东南亚等全球市场。目前,金海通的客户涵盖安靠、联合科技、嘉盛、南茂科技、长电科技、通富微电、益纳利、环旭电子、甬硅电子、欣铨科技等国内外知名封测企业,博通、瑞萨科技等知名IDM企业,兴唐通信、澜起科技、艾为电子、英菲公司、芯科科技等国内外知名芯片设计及信息通讯公司,以及国内知名研究院校和机构。此次IPO,金海通计划拟募资不超过7.46亿元,其中4.36亿元用于半导体测试设备智能制造及创新研发中心一期项目,值得注意的是,另外还有1.10亿元用于年产1000台半导体测试分选机机械零部件及组件项目。大族激光分拆第二家子公司(大族封测)冲刺IPO9月28日,大族激光旗下深圳市大族封测科技股份有限公司(以下简称“大族封测”)向深交所提交《首次公开发行股票并在创业板上市招股说明书(申报稿)》获受理,拟在深交所创业板上市。大族封测原名大族光电,于2007年由大族数控和国冶星共同出资成立。成立之初,大族光电主要产品集中于LED封装环节的固晶机、焊线机、分光机及编带机,经过15年的发展,已经开启国产焊线机在半导体和泛半导体市场的品类全替代和全面布局,设备保有量已过万台。公司本次公开发行新股不超过4022.20万股,占本次发行后公司总股本的比例不低于10%,原股东不公开发售老股,本次募集资金用于项目及拟投入的募资金额为:高速高精度焊线机扩产项目,拟使用募集资金金额约1.51亿元;研发中心扩建项目,拟使用募集资金金额约1.1亿元。汇成真空创业板首发过会2022年12月22日,创业板上市委举行了2022年第87次审议会议,广东汇成真空科技股份有限公司(简称“汇成真空”),成功过会。汇成真空是一家以真空镀膜设备研发、生产、销售及其技术服务为主的真空应用解决方案供应商,主要产品或服务为真空镀膜设备以及配套的工艺服务支持。经过多年技术发展和经验积累,公司具备了完整的真空镀膜设备研发、制造能力以及镀膜工艺开发能力,可为不同行业客户提供定制化、专业化的真空镀膜设备及其工艺解决方案。2021年8月,公司被授予第三批“专精特新‘小巨人’企业”称号。公司此次欲募集2.35亿元,其中1亿元用于研发生产基地项目,7500万元用于真空镀膜研发中心项目,6000万用于补充流动资金。京仪装备冲刺科创板IPO,拟募资超9亿元2022年12月8日,北京京仪自动化装备技术股份有限公司(下称“京仪装备”)冲刺科创板IPO获上交所受理,本次拟募资9.06亿元。公司主要从事半导体专用设备的研发、生产和销售,主营产品包括半导体专用温控设备(Chiller)、半导体专用工艺废气处理设备(LocalScrubber)和晶圆传片设备(Sorter)。公司自成立以来,主营业务未发生重大变化。截至2022年9月30日,京仪装备已获专利173项,其中发明专利56项。京仪装备在招股书中称,该公司是目前国内唯一一家实现先进制程半导体专用温控设备大规模装机应用的设备制造商。本次拟募资用于集成电路制造专用高精密控制装备研发生产(安徽)基地项目、补充流动资金,主要募投项目分别是集成电路制造专用高精密控制装备研发生产(安徽)基地项目、补充流动资金。
  • 国产破局现曙光:半导体专用电镜CD-SEM市场与企业盘点
    CD-SEM概述在过去的半个多世纪,集成电路产业依照摩尔定律不断向更小的特征尺寸(critical dimension,CD)发展,这就使得集成电路的生产需要更严格的制造环境、更先进的制造工艺以及更少的制造缺陷,因此,集成电路晶圆(wafer)关键层图案图像线宽尺寸测量和缺陷检查越来越成为现代半导体产业的关键问题。半导体工艺技术的进步往往表现在器件关键尺寸的减小,栅宽决定了沟道长度,进而影响器件的反应速度。关键尺寸即栅极线条宽度,通常是指我们所说的“线宽”,任何经过光刻后的光刻胶线条宽度或刻蚀后栅极线条宽度与设计尺寸的偏离都会直接影响最终器件的性能、成品率及可靠性,所以先进的工艺控制都需要对线条宽度进行在线测量。关键尺寸测量需要精度和准确性优于2nm的测量仪器,能够获得这种测量水平的仪器是扫描电子显微镜。此类扫描电镜被称为关键尺寸扫描电子显微镜(Critical Dimension Scanning Electron Microscopy,即CD-SEM),是晶圆厂的主要计量工具。虽然两者在技术原理上有很多相似之处,但由于不同的应用侧重点,CD-SEM和普通SEM在实际的配置和使用中存在较大区别。与通用 SEM 相比,CD-SEM 有三大特点:1、CD-SEM照射样品的一次电子束能量较低,为1keV或以下。这是由于光刻胶或其他微结构很脆弱,降低CD-SEM电子束的能量可以减少电子束照射对样品的损伤,这样有利于晶圆进行下一步工序。2、CD-SEM注重于高精度和高速度的尺寸测量,通常配备有专门设计的电子枪、透镜和检测器,以实现在高吞吐率和高重复性下获得精确的线宽测量。CD-SEM 的测量重复性约为测量宽度的 1% 3σ。3、CD-SEM与SEM的自动化操作步骤:将样品晶圆放入晶圆盒内,然后将晶圆盒放置在 CD-SEM/SEM 上。预先将尺寸测量的条件和程序输入到配方(recipe)中。当测量过程开始时,CD-SEM /SEM会自动从盒中取出样品晶圆,将其加载到 CD-SEM /SEM中并测量样品上所需的位置。测量完成后,晶圆将返回到晶圆盒中。普通的SEM也可以执行自动化操作,但与CD-SEM相比,其自动化能力不如CD-SEM那么精细和高效。CD-SEM通常在半导体制程控制中需要执行大量的重复测量,因此具有较强的自动化能力。而普通SEM则可能更注重于图像的质量和分辨率。当然CD-SEM通常还包含一套完整的数据分析和报告生成工具,可以自动分析测量数据、生成统计报告并等。市场概况根据VLSI Research的统计,2020 年半导体检测和量测设备市场各类设备占比如下表所示,其中,检测设备占比为 62.6%,包括无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、掩膜检测设备等;量测设备占比为 33.5%,包括三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备、掩膜量测设备等,具体情况如下:数据显示,电子束关键尺寸量测设备全球销售额约6.2亿美元,占半导体量检测设备总销售额的8.1%。目前,全球半导体检测和量测设备市场也呈现国外设备企业垄断的格局,CD-SEM也不例外。目前主要CD-SEM厂商是日立高新,国内主要厂商包括上海精测和东方晶源。CD-SEM当前市场主流产品型号包括 AMAT 的 VeritySEM 系列和 PROVision 系列,以及日立高科的 SEM 系列;国产突破上看,东方晶源面向 8 吋产线的首台 CD-SEM 设备 SEpA-C300 系列已于 2022年4月出货给燕东微,面向 12 吋产线的首台设备 已于 2021 年 7 月出机中芯国际。CD-SEM国外主流企业及进展1、日立高科自 1984 年推出第一台 CD-SEM 以来,日立一直遵循基于 SEM 图像的关键尺寸测量方法,30 多年来一直不断发展并保持出色的测量可重复性。在保持与半导体微纳加工趋势兼容的高分辨率的同时,日立提供强大的CD-SEM,以展示高可用性,并结合制造和开发线所需的各种新功能,以满足客户的需求。随着半导体器件制造工艺的发展,N2(2 nm制程节点)和A14(14 埃制程节点)的研发正在进行中。除了在最先进的器件中应用高数值孔径 EUV光刻之外,器件结构的复杂性预计还会增加,例如 GAA 和 CFET 结构。因此,在尖端半导体器件工艺开发中,在研究阶段和量产阶段,对在各种测量条件下进行高速数据采集以测量各种材料和结构、稳定运行以及进一步提高工具间匹配的需求正在增加。2023年12月12日,日立高新技术宣布推出GT 2000高精度电子束计量系统。GT2000高精度电子束测量系统GT2000配备了尖端3D半导体器件的新型检测系统。它还利用低损伤高速多点测量功能实现高数值孔径EUV光刻胶晶圆成像,以最大限度地减少光刻胶损坏并提高批量生产中的良率。日立GT2000 CD-SEM将在日益小型化和复杂化的先进半导体器件的制造过程中实现高精度、高速的测量和检测,并为提高客户在研发和量产方面的良率做出贡献。GT2000有三大关键技术:1. 100V超低加速电压和超高速多点测量功能,适用于高数值孔径EUV工艺在高数值孔径EUV光刻工艺中,使用的光刻胶更薄,因此,为了高精度测量,计量工具必须尽可能少地对光刻胶造成损坏。GT2000将开创性的100V超低加速电压与专有的高速扫描功能相结合,实现了低损伤和高精度测量。此外,它还配备了超高速多点测量模式,可快速确定制造工艺条件,检测研发阶段的异常情况。2. 3D器件结构高灵敏度检测系统除了传统的 CD 测量外,具有 GAA、CFET 和 3D 存储器等结构的 3D 设备还需要测量图案的深度、孔和沟槽的底部。GT2000配备了新的高灵敏度检测系统,可有效检测背散射电子,从而能够对日益复杂的器件结构进行高精度成像,并扩展了新测量应用的可能性。3. 改善工具间匹配的新平台和新电子光学系统负责过程监控的CD-SEM最重要的性能要求之一是多个工具之间的测量值差异很小。GT2000 新平台和电子光学系统经过重新设计,消除了导致测量值差异的任何因素,从而改善了工具之间的匹配。2、应用材料(AMAT) 应用材料是世界上最大的半导体设备厂之一,多年稳居半导体设备市场榜首。1997年,为了进入集成电路生产过程检测和监控设备市场,应用材料先后分别以1.75亿美元和1.1亿美元收购两家以色列公司Opal Technologie和Orbot Instruments。其中,Opal是一家领先的半导体CD-SEM系统供应商。由此应用材料成功打入CD-SEM市场。今年2月28日,2月28日,应用材料公司推出了一款新型电子束测量系统,专门设计用于精确测量采用EUV和新兴高数值孔径EUV光刻技术的半导体器件特征的关键尺寸。随着光刻胶在EUV中变得更薄,尤其是高数值孔径EUV,测量半导体器件特征的关键尺寸变得更具挑战性。为了捕获提供精确亚纳米测量的高分辨率图像,CD-SEM必须能够将窄电子束精确地施加到极薄光刻胶占据的小区域。电子束能量与光刻胶相互作用,如果着陆能量过高,抗蚀剂会收缩,扭曲图案并产生误差。传统的CD-SEM不能产生足够窄的光束,以足够低的着陆能量创建高分辨率图像,以尽量减少与精致的高数值孔径光刻胶的相互作用。应用材料公司的新型VeritySEM 10系统具有独特的架构,与传统的CD-SEM相比,能够以2倍的分辨率实现低着陆能量。它还提供更快30%的扫描速率,以进一步减少与光刻胶的相互作用并提高通量。该系统具有行业领先的分辨率和扫描速率,可改进对EUV和高数值孔径EUV光刻和蚀刻工艺的控制,帮助芯片制造商加快工艺开发并最大限度地提高大批量生产的良率。VeritySEM 10系统也被芯片制造商用于3D设计中的关键尺寸计量应用,包括Gate-All-Around(GAA)逻辑晶体管和3D NAND存储器,其中系统的背向散射电子能够对深层结构进行高分辨率成像。在GAA芯片的应用中,VeritySEM 10用于测量和表征选择性外延过程,这是晶体管性能的关键。对于3D NAND存储器,该系统提供大视野和高焦深,以测量整个楼梯互连结构并帮助调整蚀刻工艺配方。3、KLA KLA(中文科磊),1976年成立于美国加州硅谷,是全球领先的半导体检测设备供应商,为半导体制造及相关行业提供产能管理和制程控制解决方案。目前KLA的量检测产品主要集中于光学检测,其电子束检测多为缺陷检测产品。不过KLA也曾推出过CD-SEM的量测产品,比如曾在1999年推出了 8100XP-R,这是一款临界尺寸扫描电子显微镜 (CD-SEM),专为涉及低 k1 光刻和 0.18 微米设计规则的半导体计量而设计。该工具设计用于测量掩模版和晶圆,而无需任何硬件或软件转换。今年美国国家标准与技术研究院 (NIST)联合KLA 的研究人员共同开发电子束倾斜测量技术,以提升芯片制造SEM测量水平。当电子束通过SEM时,它会受到精细控制。电子束与理想路径的轻微偏差或电子束撞击芯片表面的角度的微小错位都会使生成的 SEM 图像失真并歪曲器件的结构。NIST和KLA通过考虑电子束的这些角度错位,提高了SEM的精度。该联合研究项目测量光束倾斜的精度小于一毫弧度,即百分之五度,这需要在角分辨率和测量验证方面取得进步。4、TCKTCK株式会社自2005年成立以来,为半导体行业开发并销售了许多独特的半导体相关设备,其基础技术包括精密载物台、真空控制技术、电子束控制和图像处理技术等。因此,在2014年,开发并销售了世界上最小水平的高分辨率和超高真空SEM,并收到了来自各个方面的大量反馈。 近年来,在半导体领域开发的精密技术得到应用。TCK的Minimal CD-SEM专门用于电子显微镜中晶圆图案的尺寸测量。该设备的特点是可移动的尺寸和重量(纵深459mm,高1440mm,宽297mm,120kg),在工厂实现高分辨率/超高真空,同时能够在AC 100V的电源中工作。为了本仪器的便携性,安装了各种网络连接方式,例如通过USB / LAN电缆连接PC和通过IR云互联网连接红外互联网。5、HOLONHOLON是一家基于电子束的检测和测量工具的制造商,产品主要用于半导体制造所需的光掩模和晶圆。用于光掩模的CD-SEM是HOLON的旗舰产品,在半导体制造工艺中使用的光掩模制造工艺中发挥着不可或缺的作用。光掩模制造工艺所需的工具主要分为两种类型。一种是电子束直写(图案成型)系统,另一种是检查(或修复)系统。HOLON提供电子束检测系统。公司主要的CD-SEM产品是ZX系列,其中ZX-D是用于传统工艺节点的光掩模CD-SEM。ZX-D 和 ZX 建立在相同的技术平台上,ZX-D 可以在需要时升级到完整的 ZX 配置。ZX CD-SEM 将 HOLON 在掩模计量方面的技术领先地位扩展到 10nm 以下节点的最先进器件。HOLON行业领先的像差校正和电荷缓解技术已经过改进和实施,以满足测量和成像前沿半导体光掩模的所有要求。ZX 已交付给全球多家半导体制造商、代工厂和光掩模车间,并在其中使用。该产品可以在纳米尺度上高速、高精度地测量图案;改进了像差校正,以获得更清晰的图像和更高的信噪比;通过低真空技术实现电荷减轻的高质量图像;集成应用,包括多点 CD 测量、轮廓提取、新型 2D 测量、方法、缺陷检查和“鸟瞰”(3D) 视图;适用于各种基材,包括EUV掩模、移相掩模、NIL Qz模具、PET薄膜模具和DSA薄膜。6、ADVANTESTAdvantest (ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。随着多重曝光技术的进步,更细间距和更复杂电路的发展,以及光罩数量和各光罩测量点数量的增加,需要对光罩上形成的布线图案尺寸进行稳定的高精度测量和评估。爱德万E3600系列产品满足先进设备的需求,具有高测量可重复性和稳定产能。E3650是采用爱德万专有电子束扫描技术的新系统,以更高的精度和稳定性测量光罩上的精细图案尺寸。E3650是公司E3600系列的最新产品,广受光罩SEM市场的青睐。与现有型号E3640相比,其测量产量增加了一倍。E3650能够大规模测量更加复杂的图案以及因多重图案而增加的光罩数量。除了前沿光罩技术外,新系统在测量EUV光罩和纳米压印应用主模板时也显示出卓越的性能。CD-SEM国内企业及进展1、东方晶源东方晶源微电子科技(北京)股份有限公司成立于2014年,总部位于北京亦庄经济技术开发区,是一家专注于集成电路良率管理的企业。东方晶源推出的12英寸、6/8英寸关键尺寸量测设备CD-SEM均已进入产线量产多时,可支持Line/Space, Hole/Elliptic,LER/LWR等多种量测场景、满足多种成像需求。据了解,该公司CD-SEM此前已向中芯国际、燕东微完成交付。目前公司CD-SEM最新型号为SEpA-c300和SEpA-c400,分别针对8寸和12寸晶圆产品。2023年9月5日,证监会发布了关于东方晶源微电子科技(北京)股份有限公司首次公开发行股票并在科创板上市辅导备案报告,辅导机构为中信建投证券股份有限公司。2、上海精测上海精测半导体技术有限公司成立于2018年7月,主要从事以半导体量检测设备为主的研发、生产和销售,同时也开发一部分显示和新能源领域的检测设备。2021 年,上海精测完成了首台 CD-SEM 项目的订单交付。2022年12月底,精测半导体宣布首台CD-SEM设备(eMetric)已顺利发货华南客户。eMetric是上海精测半导体本土研发团队打破国外公司的垄断、依靠自身力量全新自主研发的电子束晶圆关键尺寸量测设备(CD-SEM),具有核心零部件的全部自主知识产权。该设备可实现高深宽比特征量测和overlay测量,且可满足6/8/12英寸晶圆从微米小视场到百微米级大视场的截然不同的量测需求。3、苏州矽视苏州矽视科技有限公司于2021年6月成立,专注于高端半导体晶圆量检测设备的研发、生产和服务,致力于自主研发具有完全自主知识产权、满足行业需求的国产电子束成像量检测设备。矽视科技在短短两年多时间里,已经推出CDSEM等多种类型设备,并获得苏州市姑苏领军人才、相城区独角兽企业、相城区重点创业团队多项荣誉。其中,CDSEM(关键尺寸量测设备)主要是通过对于关键尺寸的采样测量,实现对IC制造过程中,光刻工艺后所形成图形尺寸进行监控,以确保良率。该设备对标业内主流量产产品,并研发特有的荷电控制方法和位置偏差的动态补偿方法,在分辨率、视场、采样频率、定位精度和吞吐率等均可满足市场要求。2023年12月14日,苏州矽视科技有限公司首台关键尺寸量测设备(CDSEM)出机。苏州矽视科技表示,该设备为苏州矽视科技自主研发,其中最重要的电子光学系统具有自主的核心技术,完全摆脱进口,主要性能指标媲美国外同类产品,为国产替代再添新军。4、青田恒韧青田恒韧成立于2022年,是由CD-SEM领域资深人士创立的CD-SEM设备公司,研发中心位于北京市丰台区,公司主要专注于CD-SEM设备研发、设计与销售,核心团队在CD-SEM领域具有丰富的研发设计和经营管理经验。据悉,CD-SEM设备研发难度大技术门槛高,青田恒韧研发团队经过多年在底层物理、数学、材料、软件、工艺等上的深入研究,后续更是耗时近两年时间在阿里云服务器上的仿真CD-SEM设备跑数据,最终取得突破性进展。今年8月,青田恒韧完成Pre-A轮融资,由方富创投、明德投资共同投资;天使轮融资机构为北京中关村协同创新基金。本轮融资将主要用于研发CD-SEM设备,目标是研制并生产能够完全替代Hitachi CG5000的CD-SEM产品。整体来看,国际龙头企业在技术和市场上都处于主导地位,国产替代难度大。而国产企业普遍成立研发相关设备较晚,人才团队也多来自海外归国技术人员,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 和研科技拟3.15亿投建半导体精密设备生产基地
    12月30日,国内半导体专用设备研发制造领军企业——沈阳和研科技股份有限公司与沈北新区成功签约,企业计划投资3.15亿,在沈北兴建半导体产业项目。此次签约,标志着沈北新区在半导体产业发展上迈出突破一步!新项目,新期待12月30日,沈阳和研科技股份有限公司与沈北新区(辉山经开区)正式签约。企业计划投资3.15亿,拟建设占地95亩的半导体精密设备生产基地项目,项目达产后,预计第一年实现产值5亿元,三年实现产值10亿元。沈北新区区委书记、辉山经开区党工委书记吴军,沈阳和研科技有限公司董事长袁慧珠等政企双方相关负责人出席了签约仪式。新产业,新亮点沈阳和研科技股份有限公司成立于2011年, 目前正在筹备上市,是一家专业从事半导体专用设备及配件耗材的研发、生产、销售、咨询、服务于一体的多元化公司,也是国内半导体行业的领军企业。公司主营业务划片机及其相关半导体封装设备等在行业排名国产设备第一;在国内半导体精密划切细分领域市场占有率第一;和研制造的划片机全国市场销量、品牌效应、技术、售后服务排名第一。公司合作伙伴包含比亚迪、中国电科、阿里巴巴、华为、腾讯、晶导微电子等一众知名企业。特别值得一提的是,和研科技作为辽宁省集成电路封测领域优势企业,曾研发出辽宁省首台12英寸高精度全自动精密划片机,实现了对国外垄断产品的国产化替代,推动了精密磨划设备的国产化进程。该公司此次与沈北签约的项目,主要建设半导体设备生产车间、研发楼、实验室、办公用房及配套设施等。项目建成后,将成为沈北新区打造半导体产业的全新引擎,未来将为沈北半导体等战略性新兴产业发展起到重要作用,更将为全市全省半导体行业贡献新的经济增长点。
  • 第十届(2022年)中国半导体设备年会在锡开幕
    2022年10月28日,第十届中国半导体设备年会暨半导体设备与核心部件展示会在无锡太湖国际博览中心开幕。中国科学院院士褚君浩,中国集成电路创新联盟秘书长、中国半导体协会集成电路分会理事长叶甜春,江苏省工信厅副厅长池宇,无锡市副市长周文栋出席会议并致辞。会议由中国电子专用设备工业协会主办。中国电子专用设备工业协会常务副秘书长金存忠主持了高峰论坛。中国半导体设备年会伴随着中国半导体产业走过了十个年头。十年来,中国半导体设备市场规模持续发展,中国半导体设备行业的销售收入、研发投入都保持了持续增长的态势。金存忠说,无锡市是我国半导体设备产业的重镇,无锡高新区半导体产业的规模已经破千亿,形成了半导体全产业链的发展格局。中国集成电路创新联盟秘书长、中国半导体协会集成电路分会理事长叶甜春在致辞中强调,既然我们有自己的体系,就要以我为主,从系统应用、设计、制造、封测、装备、材料、零部件形成内循环,然后把国际资源接进来,形成国际国内双循环。重要的是打造一个以我为主的全球化的新生态,现在看来这个任务越来越迫切了。江苏省工信厅副厅长池宇致辞中指出。当前世界百年未有之大变局加速演进,新冠肺炎疫情影响深远,国际环境错综复杂,围绕科技制高点的竞争空前激烈,全球集成电路产业发展格局面临深刻调整,产业发展面临新的问题和挑战。与此同时,新一轮科技革命的产业变革深入发展。人工智能、大数据、云计算、区块链等技术不断融合创新,也给产业发展提供了广阔的空间。无锡市副市长周文栋在致辞中指出,无锡是长三角区域中心城市,不仅有深厚的文化底蕴、优越的生态禀赋广受青睐,还以厚实的产业基础,倍受推崇。从60年前的742厂成立以来,无锡一路见证和参与了中国集成电路产业的起步发展,经过了半个多世纪的精心培育,集成电路产业已成为无锡地标产业,闪亮名片,全局地位突出。中国科学院院士褚君浩在高峰论坛上作了《智能时代背景下的仪器设备技术》精彩演讲,受到与会者的高度关注和好评。无锡高新区党工委委员、新吴区委常委、无锡高新区管委会副主任、新吴区政府副区长顾国栋作了《不忘初芯 聚焦硬核》重点推介。高新区作为无锡市集成电路产业发展的主阵地,多年来积极培育头部企业,努力延伸上下游产业链,无锡高新区集成电路产业健康快速的发展吸引了全国各地产业界的注视。高峰论坛上,上海陛通半导体能源科技有限公司市场销售副总裁陈浩作了题为《国内半导体产能的快速提升将面临设备供给的挑战》演讲;江苏微导纳米科技股份有限公司副董事长兼首席技术官黎微明演讲了《原子级工程:原子层沉积技术的展望》。复旦大学积塔集成电路联合实验室副主任、研究员陈俊宇博士,作了《国产半导体设备进程》的演讲;盛美半导体设备(上海)股份有限公司副总经理陈福平作了《半导体设备产业发展的机遇与挑战》的演讲。出席本次活动的还有无锡市政府各主要职能部门负责人、各板块分管负责人。还有中国电子专用设备工业协会会员单位的企业家,以及产业界、高等院校、研究机构、投融资服务机构和有关媒体的代表。高峰论坛作为国内设备行业权威交流平台,中国半导体设备年会在举办十周年之际,会议同期举办国产半导体装备、零部件成果展,本次展览参与企业百余家,展馆面积近五千平方,首次汇聚众多行业龙头企业,集中展示半导体专用设备,为打通产业链上下游提供良好机遇,不失为一场业界盛会。
  • 16家!2023年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2023年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn )。东方晶源开启上市辅导,拟科创板IPO9月5日,证监会发布了关于东方晶源微电子科技(北京)股份有限公司(以下简称“东方晶源”)首次公开发行股票并在科创板上市辅导备案报告,辅导机构为中信建投证券股份有限公司。资料显示,东方晶源成立于2014年,聚焦集成电路制造良率管理领域,创立之初即确立了以电子束图像检测、关键尺寸量测和计算光刻技术为主攻方向。经过多年的攻坚克难,东方晶源已交出诸多亮眼成绩单。公司自主研发的计算光刻软件(OPC)、纳米级电子束检测装备(EBI)、12吋和8吋关键尺寸量测装备(CD-SEM)等三款核心产品,填补多项国内市场空白。2022年,东方晶源持续推出多款软件和硬件产品,实现了多款产品的小规模量产和重复订单,迈入高速发展的新阶段。半导体测试设备厂商宏泰科技拟A股IPO 已进行上市辅导1月4日,证监会披露了华泰联合证券关于南京宏泰半导体科技股份有限公司(简称:宏泰科技)首次公开发行股票并上市辅导备案报告。据披露,宏泰科技于2022年12月30日与华泰联合证券签署了《南京宏泰半导体科技股份有限公司与华泰联合证券有限责任公司首次公开发行股票辅导协议》(以下简称“辅导协议”),聘请华泰联合证券作为其首次公开发行股票并上市的辅导机构。资料显示,宏泰科技成立于2018年,是一家专业研发半导体测试设备并提供解决方案的企业,主要从事半导体后道封装、测试设备的研发与生产,产品覆盖了半导体SOC测试设备、模拟测试设备、分立器件和功率器件测试设备、分选设备,并已将SOC测试设备成功开发应用到半导体行业。卓海科技IPO上会被否!创业板IPO被否后,卓海科技再次开启上市辅导2023年1月20日,无锡卓海科技股份有限公司(以下简称 " 卓海科技 ")创业板 IPO 上会被否,该公司是一家半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值。根据深交所官网,卓海科技的创业板定位、上下游渠道稳定性、业绩快速增长合理性与可持续性、存货跌价准备计提充分性等事项被重点关注。作为2023年创业板首家上会被否的企业,卓海科技受到了市场的关注。而就在8月15日,证监会披露了关于无锡卓海科技股份有限公司首次公开发行股票并上市辅导备案报告,其保荐机构为海通证券。卓海科技再次开启上市辅导。据了解,卓海科技作为国内重要的半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值,为客户提供高稳定性、品类丰富的前道量检测修复设备,并通过配件供应及技术服务满足客户全方位需求。国产PECVD装备厂商理想万里晖开启上市辅导!1月28日,据证监会披露,海通证券发布了关于理想万里晖半导体设备(上海)股份有限公司(简称“理想万里晖”)首次公开发行股票并上市辅导备案报告。2023年1月13日,海通证券与理想万里晖签署了上市辅导协议。资料显示,上海理想万里晖薄膜设备有限公司前身是理想能源PECVD事业部,2012年经过拆分重组,于2013年完成注册。2020年,公司完成A+轮融资,并落户临港新片区。全资子公司理想万里晖真空装备(泰兴)有限公司于2017年1月在泰兴高新区成立,拥有22000平方米的厂房,可实现异质结PECVD装备年产能10GW。理想万里晖主营太阳能、泛半导体和半导体高端PECVD装备,系列光伏和AMOLED显示等领域高端PECVD系列产品多次打破国外垄断、填补国内空白,是中国高端PECVD装备的优选供应商。拟募资不超7.46亿元,证监会核发金海通主板IPO批文2月14日,证监会披露了关于核准天津金海通半导体设备股份有限公司(简称:金海通)首次公开发行股票的批复,核准金海通公开发行不超过1500万股新股。资料显示,金海通是一家从事研发、生产并销售半导体芯片测试设备的高新技术企业,属于集成电路和高端装备制造产业,公司深耕集成电路测试分选机(Test handler)领域,主要产品测试分选机销往中国大陆、中国台湾、欧美、东南亚等全球市场。此次IPO,金海通计划拟募资不超过7.46亿元,其中4.36亿元用于半导体测试设备智能制造及创新研发中心一期项目, 1.10亿元用于年产1000台半导体测试分选机机械零部件及组件项目,2亿元用于补充流动资金。3月3日,金海通在上交所上市,发行价为58.58元,发行1500万股,募资总额为8.8亿元。半导体设备厂商和研科技拟A股IPO1月17日,证监会披露了中信建投证券关于沈阳和研科技股份有限公司(简称:和研科技)首次公开发行股票并上市辅导备案报告。资料显示,和研科技成立于2011年,公司以沈阳为中心,在苏州设有华东研发中心(苏州和研精密科技有限公司),和研科技是一家专业从事半导体磨划设备的研发、销售、咨询、服务于一体的多元化公司,专注于硅片、玻璃、陶瓷、石英、铌酸锂、碳化硅、树脂等硬脆材料的精密切割加工。和研科技主营6~12英寸DS系列精密划片机、JS系列全自动切割分选一体机等半导体专用精密切割设备,广泛应用于集成电路、分立器件、光电器件及敏感元件等制造领域。半导体设备厂商上海富创得开启上市辅导2月9日,证监会披露了关于上海大族富创得科技股份有限公司(简称:上海富创得)首次公开发行股票并上市辅导备案报告。据了解,上海富创得是大族激光的控股子公司(子公司美国富创得成立于1979年,于16年被收购进入大族体系),其持股比例为76.50%。上海富创得于2017年3月入驻上海市闵行区大族企业湾园区,项目总投资2亿元,第一期投入5000平方米厂房使用。公司在半导体行业拥有近40年的技术积累和经验传承,在晶圆片传输(SORTER/EFEM)技术、标准机械界面(SMIF)技术、超洁净光罩片/EUV自动化解决方案、无人车(AGV)自动搬运、晶圆级洁净室自动存储系统和RFID物流追踪系统等技术上拥有国内最先进的技术,为国内半导体生产线自动化提供行业内最权威的流体整合方案。美国富创得为上海富创得全资子公司,目前员工总数约20人,专注于“光刻机前端自动化设备”的研发和销售业务,服务对象以欧美半导体市场为主。公司自1979年成立以来,专注半导体晶圆自动化领域超过40年,积累了丰富的行业经验和客户资源,其专利和技术积累已全部转移到上海富创得,使上海富创得公司成为全球化国际公司,业务可以覆盖全球市场及客户。国内最大探针台企业矽电股份IPO成功过会历经近10个月的审核,矽电半导体设备(深圳)股份有限公司(下称“矽电股份”)终于即将在4月13日迎来创业板上市委的关键裁决。矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,是国家级专精特新“小巨人”企业。此番IPO,矽电股份拟发行不超过0.10亿股、募集5.56亿元,投向“探针台研发及产业基地建设”、“分选机技术研发”,“营销服务网络升级建设”以及补充流动资金。10月9日,矽电半导体设备(深圳)股份有限公司(以下简称:矽电股份)更新一、二轮问询函。募资18.88亿元!中科飞测成功登陆科创板2023年5月19日,中科飞测(688361.SH)在上交所上市。中科飞测此次发行价为23.6元,发行8000万股,募资总额为18.88亿元。中科飞测是一家国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集成电路制造产线。本次发行募集资金总额188,800.00万元,用于高端半导体质量控制设备产业化项目、研发中心升级建设项目 、补充流动资金。募资11.25亿元!晶升股份在科创板上市4月24日,南京晶升装备股份有限公司(下称“晶升股份”,SH:688478)在上海证券交易所科创板上市。本次上市,晶升股份的发行价为32.52元/股,发行数量为3459.1524万股,募资总额为11.25亿元。此前招股书显示,晶升股份计划募资4.76亿元,其中2.73亿元用于总部生产及研发中心建设项目,2.02亿元用于半导体晶体生长设备总装测试厂区建设项目,华泰联合证券为其保荐机构。根据招股书介绍,晶升股份是一家半导体专用设备供应商,主要从事晶体生长设备的研发、生产和销售。晶升装备在招股书中表示,该公司得到了众多主流半导体厂商的认可,陆续开拓了上海新昇、金瑞泓、神工股份、三安光电、东尼电子、合晶科技及客户A等客户。单晶炉厂商晶阳机电开启北交所上市辅导5月18日,证监会披露了安信证券关于浙江晶阳机电股份有限公司(简称:晶阳机电)向不特定合格投资者公开发行股票并在北京证券交易所上市辅导备案的报告。官网显示,晶阳机电是专业的直拉式硅单晶生长炉生产厂家,目前主要产品有单晶炉、铸锭炉、石英坩埚、其他半导体相关设备,公司技术力量雄厚,研制开发技术支持能力强大,现有研发人员23名,其中多人具有硕士以上学历,并在上海同时设有销售及售后服务中心;生产基地位于国家历史文化名城嘉兴,占地面积近25000平方米(约29.8亩),生产车间包括金属加工车间、产品总装调试车间、硅单晶炉、铸锭炉试机车间、电气组立车间以及石英坩埚生产线车间。浙江晶阳机电股份有限公司于12月26日在北交所更新上市申请审核动态,该公司IPO申请已受理。国产刻蚀设备关键零部件供应商先锋精科科创板IPO获受理6月8日,上交所正式受理了江苏先锋精密科技股份有限公司(公司简称:先锋精科)科创板上市申请。据招股书披露,先锋精科是国内半导体刻蚀和薄膜沉积设备细分领域关键零部件的精密制造专家,尤其在国际公认的技术难度仅次于光刻设备的刻蚀设备领域,公司是国内少数已量产供应7nm及以下国产刻蚀设备关键零部件的供应商,直接与国际厂商竞争。在聚焦半导体领域的同时,公司充分发挥精密零部件技术的扎实基础及创新能力优势,积极在光伏、医疗等其他领域探索和开发新产品。先锋精科本次IPO拟募资7亿元,投向靖江精密装配零部件制造基地扩容升级项目、无锡先研设备模组生产与装配基地项目、无锡先研精密制造技术研发中心项目及补充流动资金。CMP设备商晶亦精微冲刺科创板IPO7月2日,上交所日前正式受理了北京晶亦精微科技股份有限公司(简称:晶亦精微)科创板上市申请。公司预计投入募资16亿元,用于高端半导体装备研发项目、高端半导体装备工艺提升及产业化项目、高端半导体装备研发与制造中心建设项目和补充流动资金。据招股书披露,晶亦精微主要从事半导体设备的研发、生产、销售及技术服务,主要产品为化学机械抛光(CMP)设备及其配件,并提供技术服务。CMP设备通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化,主要用于集成电路制造领域。通过长期合作,晶亦精微与境内外知名集成电路厂商建立了深厚的战略合作关系,CMP设备已广泛应用于中芯国际、境内客户A、世界先进、联华电子等境内外先进集成电路制造商的规模化产线中。硅部件生产商盾源聚芯主板IPO12月14日,因宁夏盾源聚芯半导体科技股份有限公司(简称:盾源聚芯)已完成财务资料更新,根据《深圳证券交易所股票发行上市审核规则》第六十一条的相关规定,深交所恢复其发行上市审核。盾源聚芯主要从事硅部件和石英坩埚的研发、生产和销售。主要产品包括:半导体芯片加工设备用的硅环、硅喷淋头、硅舟、硅喷射管等硅部件产品、单晶/ 多晶硅部件材料产品以及生产半导体单晶硅和太阳能单晶硅用的石英坩埚产品。盾源聚芯硅部件产品在芯片加工流程里主要用于热氧化、刻蚀(干法)、退火、扩散、化学气相沉积。盾源聚芯此次IPO拟募资12.96亿元,募集资金将用于硅部件生产线新建项目、石英坩埚生产线升级项目、盾源聚芯研发中心建设项目、全球营销网络建设项目、现有厂房购置款支付及补充流动资金。硅部件生产线新建项目拟使用募集资金6亿元,募投项目达产后,盾源聚芯预计将新增硅环产量9.62万个/年。募资总额13.42亿,京仪装备正式登陆上交所科创板11月29日上午,北控集团所属北京京仪自动化装备技术股份有限公司在上海证券交易所科创板挂牌上市,正式登陆资本市场,成为北控集团旗下首个科创板上市公司。据了解,京仪装备首次公开发行数量为4200万股,发行价为31.95元/股,上市当日开盘价为60.12元/股,市值超百亿,募集资金总额为13.42亿元,用于集成电路制造专用装备研发基地项目和补充流动资金。京仪装备成立于2016年,主营产品包括半导体专用温控设备(Chiller)、晶圆传片设备(Sorter)和半导体专用工艺废气处理设备(Local Scrubber)等专用设备。因出现重大事项 杰锐思IPO上会前夕被取消审议12月7日,深交所披露公告称,鉴于苏州杰锐思智能科技股份有限公司(简称:杰锐思)在本次上市委审议会议公告发布后出现重大事项,按照相关程序,本次上市委审议会议取消审议杰锐思发行上市申请。据了解,杰锐思原本被安排在12月8日首发上会,但最终被取消审核。此次冲击创业板上市,杰锐思拟募资6.04亿元,闯关IPO背后,公司与多个业务合作商关系密切,客户、供应商疑点重重。杰锐思是专业从事智能检测设备和智能生产组装设备(线)的研发、设计、生产及销售的科技型企业。依托先进的力学检测技术,公司实现了向精密运控、机器视觉等技术领域的拓展,逐步构建了涵盖3C、新能源及半导体封测等行业的业务体系,形成了以3C力学检测、锂电池电芯制造、半导体测试分选等智能设备为发展核心的产品分布。
  • 半导体领域仪器设备销售如何玩转市场营销
    2023年全球半导体量/检测设备市场规模达到128.3亿美元,同比增长1.6%,2019-2023年CAGR为19.1%;中国半导体量/检测设备市场规模由2019年的16.9亿美元增长至2022年的40.2亿美元,中国大陆在全球市场占比也由26.6%增长至31.8%;预计2024年及2025年中国半导体量/检测设备市场增速分别为10%和12%,较低于全球市场增速。(数据来源共研产业咨询)2019-2026年全球及中国半导体量/检测设备市场规模预测全球半导体行业呈现典型周期性,技术驱动10年长周期,资本开支驱动3-4年短周期。2023年,全球半导体行业资本开支同比下降14%至156亿美元,预计2024年全球半导体行业资本开支将迎来反弹,拉动全球半导体设备投资增加,半导体量/检测设备需求量有望持续提升。作为国产半导体领域仪器设备商,如何高效的利用现有营销资源渠道创造更大的收益?在半导体检测设备市场,厂商的营销人员经常面临着一系列挑战:1. 随着市场的成熟,产品同质化现象可能逐渐显现,企业需要通过向客户宣传差异化的产品特性和增值服务来获得市场优势。2. 市场推广手段有限:传统的推广手段可能难以触及专业领域的潜在客户,企业需要探索新的营销手段,如线上研讨会、技术论坛等。3. 市场教育与技术传播:由于半导体检测设备技术复杂,企业需要投入资源进行市场教育,帮助潜在客户理解产品的价值和应用场景。4.数字化营销的应用:随着数字化营销的兴起,如何利用大数据、人工智能等工具进行市场分析和客户关系管理成为新的挑战。然而,正是在这些痛点中,仪器信息网看到了服务的机会。 仪器信息网,作为专为科学仪器最专业B2B门户网站,提供一站式解决方案。我们通过深度的市场洞察和精准的用户数据分析,帮助厂商把握市场脉动,制定有效的营销策略。利用我们的平台,厂商可以轻松发布技术文章、产品评测和应用案例,以深入浅出的方式向广大用户群体传达其设备的技术优势和应用价值。同时,我们的在线研讨会和互动教程功能,大大降低了市场教育的成本,扩大了教育的覆盖范围。来看看仪器信息网的相关服务资源是如何助力半导体设备企业玩转市场营销的:1. 垂直用户多:在当今数字化时代,我们致力于构建一个全方位的科学仪器选购平台,以满足广大垂直用户群体的多元化需求。通过PC端、移动网站(WAP)、移动应用程序(APP)以及便捷的小程序,我们实现了多端用户触达,确保用户无论身处何地,都能轻松访问我们的服务。 我们的平台特色在于五维度导购系统,它精心设计以适应不同用户的需求,无论是寻找特定厂商、关注新品首发、挑选仪器优选、探索行业应用还是通过搜索功能快速定位产品,都能提供精准的解决方案。这使得我们的网络平台成为用户选购仪器的首选。 特别值得一提的是,我们每年能够覆盖超过100万的半导体领域用户,这一数字的背后是我们对行业覆盖广度的不懈追求。我们的服务对象包括但不限于科研院所、大专院校、工业企业、政府事业单位以及商业检测机构,我们致力于服务每一个对科学仪器有需求的角落。 通过这样的服务模式,我们不仅为用户提供了一个方便快捷的选购渠道,更为科学仪器行业搭建了一个高效、透明的交流合作平台。我们期待与每一位用户和合作伙伴的相遇,共同推动科学仪器行业的发展与创新。(用户画像分析后台案例)仪器信息网半导体领域覆盖用户单位/学校名称(部分)单位名称学校名称中国科学院微电子研究所四川大学中国航空工业第一集团公司北京航空材料研究院上海东华大学上海太阳能工程技术研究中心上海交通大学中国科学院上海微系统与信息技术研究所上海大学中国科学院上海技术物理研究所上海师范大学上海玻璃钢研究院 上海应用技术大学中国科学院上海硅酸盐研究所上海理工大学中国电子科技集团公司第五十研究所上海电子信息职业技术学院中国航天科技集团公司第八研究院第八O四研究所东北大学东北微电子研究所东北石油大学中国兵器工业第206研究所东华理工大学中国工程物理研究院东南大学中国测试技术研究院中南大学中国电子技术标准化研究院中国农业大学中国科学院上海光学精密机械研究所中国地质大学中国科学院半导体研究所中国民航大学中国科学院合肥智能机械研究所中国石油大学中国科学院地球化学研究所中国矿业大学中国科学院过程工程研究所中国科学技术大学中国计量科学研究院中国科学院大学中科院物理所超导实验室中国药科大学中国科学院长春光学精密机械与物理研究所中国计量大学中国科学院高能物理研究所中山大学佛山南海桂城质量技术监督局兰州大学大连光明研究所北京交通大学公安部第一研究所北京大学北京大学宽禁带半导体研究中心北京工业大学北京工业大学激光工程研究院北京科技大学北京市理化分析测试中心北京理工大学北京航空材料研究院华东师范大学武汉光电国家研究中心华东理工大学南京先进激光技术研究院华中农业大学大连化学物理研究所华中师范大学宁波工业技术研究院华南理工大学峨嵋半导体材料研究所南京大学工业和信息化部电子第五研究所南京师范大学广州市光机电技术研究院南京理工大学广东省科学院电子电器研究所南开大学工业和信息化部电子第五研究所南昌大学昆明理工大学光电子研究所合肥工业大学江苏省特种设备安全监督检验研究院吉林大学青岛半导体研究所哈尔滨工业大学深圳航天科技创新研究院四川大学河北半导体研究所复旦大学浙江大学材料系半导体所大连化学物理研究所上海集成电路设计与测试中心大连大学江苏第三代半导体研究院大连理工大学上海光学精密机械研究所天津大学中国科学院光电技术研究所安徽大学中国电气装备集团科学技术研究院有限公司山东大学华东光电集成器件研究所山东理工大学上海市计量测试技术研究院常州工学院中国科学院苏州纳米技术与纳米仿生研究所广西大学国家纳米科学中心新疆大学上海集成电路材料研究院昆山科技大学中国科学院国家空间科学中心武汉大学北京软件产品质量检测检验中心武汉理工大学中国科学院宁波材料技术与工程研究所武汉科技大学中国科学院深圳先进技术研究院汕头大学中国科学院福建物质结构研究所江南大学中国科学院空天信息创新研究院江苏大学2. 真实询盘多:在这个信息爆炸的时代,我们深知真实有效的询盘对于科学仪器采购的重要性。因此,我们建立了一个专业的导购平台,不仅提供仪器的详细参数对比,还汇集了用户评论和典型应用案例,全面满足用户在采购决策过程中的实际需求。 我们的平台以严格的人工审核机制确保每一个商机的真实性和明确性,致力于为用户提供一个可靠、高效的询盘环境。在我们的平台上,每23秒就会诞生一条有效的用户咨询,这是对我们服务质量的最好证明。 每年,我们的平台能够产生近40万条询单,涉及的采购金额高达650亿。这一数字不仅展示了我们平台的强大吸引力和行业影响力,更是我们对用户需求深刻理解和不懈追求的结果。(仪信通商机库案例)3. 数据驱动营销:在这个以数据驱动营销的新时代,我们致力于提供直观、高效的监控与评估工具,让效果评估变得前所未有的简单。通过我们的400电话监控工具,每一次客户互动都得到详细记录,确保服务质量的持续提升。结合先进的数据监控工具,用户能够实时追踪营销活动的表现,洞察流量和用户互动的每一个细节。而仪信通服务的便捷性,使用户无论身在何处,都能通过移动设备即刻掌握营销效果,确保不错过任何优化机会。我们相信,这些工具的综合应用将帮助用户精准调整营销策略,实现更高的营销效率和更佳的投资回报。(效果月报、询盘漏斗转化后台分析案例)4. 半导体内容宣传花样繁多:在半导体领域中通过精心策划的资讯、话题和专题内容,我们的仪器信息网站已成为半导体检测领域知识的宝库,拥有超过2000篇深度文章,12个热点专题,累计曝光量超过千万,覆盖了超过百万的专业人士。 (以上仅展示部分案例,点击图片跳转内容浏览) 我们不仅在线上提供丰富的知识内容,还积极组织线下活动,每年平均举办10余场专业会议,为仪器设备厂商与精准目标客户搭建起沟通的桥梁。在这些会议中,厂商不仅能获取宝贵的采购咨询,还能通过分享报告和解决方案,展现自家产品的独特优势,从而在激烈的市场竞争中脱颖而出。 此外,我们还紧跟时代潮流,通过互动直播的方式,结合行业热点、技术前沿和政策标准,策划主题视频直播。通过视频号、抖音等流行平台,我们不仅吸引了站内行业用户的广泛关注,还扩大了站外目标用户群体,形成了良性的增长模式,有效提升了成单转化效率。 我们坚信,通过这一系列精心设计的内容营销策略,我们能够持续为行业带来价值,为用户带来知识,为厂商带来商机,共同推动半导体设备行业的发展与繁荣。写在最后:在半导体的世界里,精密与创新是永恒的主题。我们深感荣幸,能够与一群行业领先的仪器厂商携手合作,他们不仅是技术的先锋,更是我们成功路上不可或缺的伙伴。我们也期待与更多杰出的仪器厂商一道,迎接更多的挑战,创造更多的价值,为我们的用户带来更加卓越的产品和服务。让我们携手并进,共创半导体领域的辉煌未来。加入仪信通您将获得以下优势:1. 海量商机,精准触达。2. 智能工具,高效转化。3. 权威背书,品牌提升。进入链接了解服务详情:https://www.instrument.com.cn/list/yxt.html如需咨询仪信通服务,可扫码联系:仪信通运营部杨经理
  • 吉大· 小天鹅“蛋白质快速检测仪”产品入围国家质检总局专用仪器设备采购项目
    吉大&bull 小天鹅&ldquo 蛋白质快速检测仪&rdquo 产品入围国家质检总局专用仪器设备采购项目 2010年6月1日, 中机国际招标公司发布公告,我公司&ldquo GDYN-200S 蛋白质快速检测仪产品&rdquo 成功入围 &ldquo 国家质 检总局2010年专用仪器设备采购项目&rdquo 进入终评。该仪器适于牛奶(包括纯奶、核桃、燕麦、 红枣牛奶、牛初乳等)、奶粉、豆浆粉和鸡蛋等样品中蛋白质的快速定量测定。公司以&ldquo 速测 万物利害 志保天人安康&rdquo 为企业使命,根据国家标准及市场需求,以吉林大学为技术依托, 自主创新了系列现场专用仪器和快速检测方法,为食品安全监管、卫生疾控检验、水质现场 分析、室内空气测定等部门和领域提供了有效的技术装备,为保障我国食品安全、水资源保 护以及室内空气治理等作出了积极贡献。 吉大· 小天鹅入围产品 包号 包号/品目 币种 投标名称 投标价格 (元) 第8包-C: 快速真蛋白质分析仪 长春吉大&bull 小天鹅仪器有限公司 人民币 GDYN-200S 168,000.00
  • 2022上半年国产半导体设备商IPO情况盘点
    半导体设备是半导体产业的基石,也是国内半导体产业最为薄弱的环节之一。随着集成电路产业,特别是新型芯片和先进工艺的产能扩张为半导体设备行业带来了广阔的市场空间。值此半导体产业爆发之际,国产半导体设备商开启IPO之路,以期募集资金提升技术实力并扩张产能。近日,仪器信息网对公开信息进行梳理,统计了2022年上半年半导体设备企业的IPO情况,以飨读者。(统计数据可能不全,欢迎联系补充,邮箱:kangpc@instrument.com.cn)微导纳米二闯科创板2022年3月3日,江苏微导纳米科技股份有限公司(以下简称:微导纳米)再度闯关科创版。微导纳米此前两次冲刺A股上市均无疾而终,此后更换了辅导机构。微导纳米掌握ALD核心技术,此前面临关联交易质疑,以及专利纷争。撤回IPO申请一年之后,江苏微导纳米科技股份有限公司(以下简称“微导纳米”)整装再出发,以全新股东阵容冲刺科创板,25名股东中不仅包含11家私募基金股东,其中更不乏君联资本、高瓴投资等明星机构的身影。拟募资规模也提高了1倍,由前次的5亿元调至10亿元,主要用于基于原子层沉积技术的光伏及柔性电子设备扩产升级、集成电路高端装备产业化应用中心等项目和补充流动资金。其中,补充流动资金预计金额为1.5亿元。资料显示,微导纳米成立于2015年12月25日,主要从事先进微、纳米级薄膜沉积设备的研发、生产和销售, 向下游客户提供先进薄膜沉积设备与相关改造服务及备品备件。此次IPO,多家知名机构的入股为微导纳米增色不少,不过此类投资机构向来逐利特征明显,通常会在企业上市之后迅速获利抽离,所以很难对企业的长远发展起到助力作用。更值得关注的是,该公司财务数据钩稽关系不成立,企业存在为扮靓业绩调整数据的可能性。拓荆科技正式登陆科创板4月20日,拓荆科技股份有限公司(688072)正式登陆上海证券交易所科创板。作为高端半导体专用设备企业,拓荆科技一直秉持自主创新发展,凭借一系列独创性的设计,完善的知识产权体系,以及达到国际先进水平的核心技术,公司已在国际市场展露头脚。拓荆科技表示,此次发行上市,是公司发展史上的一个重要里程碑,公司将借助资本市场这一平台,全面提升公司综合实力和公司价值,实现投资者利益最大化。拓荆科技作为自主创新的半导体设备供应商,为进一步提高技术先进性,丰富设备种类,拓展技术应用领域,提升市场占有率,开发台湾市场,公司拟借助资本力量,募集资金用于高端半导体设备扩产、先进半导体设备的技术研发与改进,以及ALD设备研发与产业化等项目。按照发展规划,公司将开展配适10nm以下制程的PECVD产品研发;开发Thermal ALD 和大腔室PE ALD;同时升级SACVD设备,研发12英寸满足28nm以下制程工艺需要的SACVD设备。华海清科成功登陆科创板6月8日,华海清科股份有限公司(以下简称“华海清科”)成功登陆科创板。华海清科的主营业务为CMP(化学机械抛光)设备,是目前国内唯一一家能够提供半导体12英寸CMP商业机型的厂商,其产品可覆盖8英寸(200mm)、12英寸(300mm)晶圆产线,已应用于中芯国际、长江存储、华虹集团、英特尔等国内外芯片厂商的产线中。报告期内,华海清科营收增长较快,2019年-2021年总营收分别为2.11亿元、3.86亿元和8.05亿元。截至招股书签署日,清华大学拥有华海清科37.854%的股份,为公司实际控制人和间接控股股东。本次IPO,华海清科计划募资10亿元,将分别用于“高端半导体装备(化学机械抛光机)产业化项目”、“高端半导体装备研发项目”、“晶圆再生项目”和“补充流动资金”4个项目,扣除发行费用后共募集了34.9亿元,超募近2.5倍。中科飞测赴科创板IPO6月16日,深圳中科飞测科技股份有限公司(以下简称“中科飞测”)首发申请上会。中科飞测公开发行股票数量不超过80,000,000股,占发行后已发行股份总数比例不超过于25%。本次募集资金100,000.00万元,主要用于高端半导体质量控制设备产业化项目、研发中心升级建设项目和补充流动资金。中科飞测将登陆上交所科创板上市,保荐机构为国泰君安证券。据了解,中科飞测是一家国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集成电路制造产线。随着半导体制程技术快速发展,质量控制设备也向更小的工艺节点发展,研发难度逐渐提高。当前,国际巨头普遍能够覆盖 2Xnm 以下制程,先进产品已经应用在 7nm 以下制程。中科飞测产品虽然已能够覆盖 2Xnm 及以上制程,但对于应用于 2Xnm 以下制程的质量控制设备仍在研发或验证中,与科磊半导体、应用材料、创新科技等国际巨头在制程工艺的先进性方面尚存在较大差距。报告期内,中科飞测营业收入分别为5,598.37万元、23,758.77万元、36,055.34万元,扣除非经常性损益后归属于母公司所有者的净利润分别为-7,238.70万元、-132.58万元、348.01万元,2021年度中科飞测首次实现微利。同时,报告期内,中科飞测经营活动现金流量净额分别为-2,848.82万元、-8,672.18万元和-9,989.46万元,存在持续为负的情况。报告期内,中科飞测产品已广泛应用在中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。与此同时,其积极承担了多个国家级、省级、市级重点专项研发任务,助力国内集成电路产业领域关键产品和技术的攻关与突破。联动科技冲关创业板公开资料显示,联动科技主营半导体行业后道封装测试领域专用设备的研发、生产和销售,其主要产品包括半导体自动化测试系统、激光打标设备及其他机电一体化设备。在首次冲击科创板IPO却以主动撤回上市申请而铩羽之后,改弦更道期望于创业板挂牌的联动科技,在经过深交所近9个月时间和前后共计三轮问询及一次落实审核中心意见之后,终于即将在2022年3月25日召开的创业板上市委2022年第15次审议会议上迎来其IPO之旅中至关重要的表决。与在2020年9月首次申请科创板上市时一样,此次瞄准创业板IPO的联动科技同样计划发行不超过1160万股,但募集资金则较之前足足提高了1.62亿达到6.37亿。这近6.4亿资金将被联动科技用来投向“半导体封装测试设备产业化扩产建设”、“半导体封装测试设备研发中心建设”、“营销服务网络建设”等三大项目及补充营运资金。恒普科技科创板IPO恢复上市审核2022年3月30日,上交所正式受理了宁波恒普真空科技股份有限公司(简称:恒普科技)科创板上市申请。本次拟募资3.52亿元,投建于宽禁带半导体及金属粉末材料用高端热工装备扩产项目、研发中心建设项目以及补充流动资金。2022年5月5日,宁波恒普真空科技股份有限公司及其中介机构因受疫情影响,无法在规定时限内完成尽职调查、回复审核问询等工作,向上交所申请中止审核。2022年6月28日,根据《审核规则》第六十六条,《审核规则》第六十四条(七)所列中止审核情形消除,上交所恢复宁波恒普真空科技股份有限公司发行上市审核。资料显示,恒普科技是一家以材料研究为基础,以高温热场环境控制为技术核心的金属注射成形(MIM)领域和宽禁带半导体领域的关键设备供应商,主要从事金属注射成形(MIM)脱脂烧结炉、碳化硅晶体生长炉、碳化硅同质外延设备等热工装备的研发、生产和销售。财务数据显示,公司2018年、2019年、2020年、2021年前9月营收分别为9,044.24万元、1.85亿元、2.15亿元、2.57亿元 同期对应的净利润分别为1,045.97万元、2,747.81万元、3,176.34万元、3,424.05万元。晶升装备申请科创板IPO4月27日,上交所已受理南京晶升装备股份有限公司(下称:晶升装备)科创板IPO申请。晶升装备本次拟公开发行股票数量不超过3,459.1524万股,不低于本次发行完成后公司总股本的25.00%,本次发行全部为新股发行,不涉及原股东公开发售股份的情形。招股书显示,成立于2012年2月的晶升装备是一家半导体专用设备供应商,主要从事晶体生长设备的研发、生产和销售。晶升装备此次IPO募集资金4.76亿元,将用于总部生产及研发中心建设项目、半导体晶体生长设备总装测试厂区建设项目。矽电股份IPO获创业板受理6月30日消息,矽电股份近日创业板上市申报材料获受理,计划发行不超过 1043.1819 万股,计划募资约5.56亿元。募投项目为“探针台研发及产业基地建设项目”、“分选机技术研发项目”、“营销服务网络升级建设项目”、补充流动资金。矽电股份主要从事半导体专用设备的研发、生产和销售,专注于半导体探针测试技术领域,系境内领先的探针测试技术系列设备制造企业。根据SEMI 和 CSA Research 统计,2019 年矽电股份占中国大陆探针台设备市场 13%的市场份额,市场份额排名第四,为中国大陆设备厂商第一名。矽电股份强调,公司经过多年发展,已全面掌握了高精度快响应大行程精密步进技术、定位精度协同控制、探针卡自动对针技术、晶圆自动上下片技术、基于智能算法的机器视觉、电磁兼容性设计技术等探针测试核心技术。探针测试核心技术水平在境内处于领先地位,新一代全自动超精密 12 英寸晶圆探针台已实现产业化应用,晶粒探针台核心技术指标已达到国际同类设备水平。截至2021年12月31日,矽电股份已获得授权专利172项(其中发明专利18项),软件著作权59项。精智达闯关科创板上市进入“已问询”状态6月25日,深圳精智达技术股份有限公司(简称:精智达)申请科创板IPO审核状态变更为“已问询”。招股书显示,精智达去年营收45,831.36万元,净利润6,741.97万元,研发投入占营业收入的比例为7.44%。精智达是检测设备与系统解决方案提供商,主要从事新型显示器件检测设备的研发、生产和销售业务,产品广泛应用于以AMOLED为代表的新型显示器件制造中光学特性、显示缺陷、电学特性等功能检测及校准修复,并逐步向半导体存储器件测试设备领域延伸发展,相关产品应用于以DRAM为代表的半导体存储器件制造的晶圆测试、封装测试及老化修复。精智达此次募集资金6亿元,其中,1.98亿元用于新一代显示器件检测设备研发项目;1.62亿元用于新一代半导体存储器件测试设备研发项目;补充流动资金2.40亿元。卓海科技拟创业板IPO6月14日,无锡卓海科技股份有限公司披露招股说明书(申报稿),拟公开发行股票不超过约2389.56万股,不低于发行后总股本的25%。本次发行不涉及公司股东公开发售股份的情况。卓海科技此次IPO拟募资5.47亿元,募集资金用于项目及拟投入的募资金额为:半导体前道量检测设备扩产项目,拟使用募集资金金额约1.04亿元;研发中心建设项目,拟使用募集资金金额约1.84亿元;补充流动资金,拟使用募集资金金额2.60亿元。本次股票发行后拟在深交所创业板上市。卓海科技作为国内重要的半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值,为客户提供高稳定性、品类丰富的前道量检测修复设备,并通过配件供应及技术服务满足客户全方位需求。此外,公司也致力于前道量检测设备(如应力测量设备、四探针电阻测试仪等)及其关键配件(如激光器等)的自主研发。值得注意的是,近年来,受益于国产替代的趋势,从2019年以来,国产半导体设备企业业绩呈现爆发式增长,甚至有很多企业业绩翻倍增长。大量半导体设备企业业绩表现良好,产值增长迅速,随之而来的便是扩产的需求。由于业绩表现良好,半导体企业开始大量冲刺IPO,迎来了上市大潮。
  • 半导体量测设备厂商优睿谱成功交付客户SICE200设备
    近日,上海优睿谱半导体设备有限公司(简称“优睿谱”)成功交付客户一款晶圆边缘检测设备SICE200,设备可用于硅基以及化合物半导体衬底及外延晶圆的边缘缺陷检测。SICE200外观图片据优睿谱总经理唐德明博士介绍,优睿谱本次推出的SICE200设备具有以下技术特点:兼容6&8寸SiC&Si衬底和外延晶圆边缘检测,也适用于其他化合物衬底及外延晶圆的边缘缺陷检测可同时实现对晶圆360°检测(晶圆正面、背面及边缘的缺陷检测)可同时实现对晶圆倒角和直径精确测量(可选)自主知识产权的光机系统可实现高分辨率、高检出率及高检测速率晶圆厚度、TTV/Warp/Bow等参数测量(可选)唐德明博士表示,在整机软件和缺陷检测算法层面,SICE200具备以下技术优势:图像增强技术:凸显边缘崩边、裂纹,表面划伤,污渍等缺陷特征丰富的条件组合判断参数化检测工具: 多种高精度的检测算法工具,具备高度的自适应能力,能够准确判断和识别缺陷类别,并准确分类晶圆倒角和直径测量技术:对边缘轮廓、晶圆直径精确测量、拟合、计算其倒角和直径尺寸功能模块化:检测软件功能模块化,可快速配置检测程式(Recipe),满足客户个性化的检测需求(可选)缺陷小图:数据留存,方便缺陷复查(Defect Review)SICE检测的典型的特征缺陷及倒角测量裂纹缺陷崩边缺陷沾污缺陷晶圆倒角参数测量此前,优睿谱已陆续推出国内首发半导体专用FTIR(傅立叶变换红外光谱)测量设备系列(部分型号目前已获得海外客户订单):适用于硅基外延层膜厚测量设备Eos200/Eos300适用于硅基元素浓度(B/P/F)测量设备Eos200+/Eos300+通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的算法实现对碳化硅外延层膜厚及外延缓冲层膜厚测量设备Eos200L通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的Global Fitting Algo. 算法技术实现碳化硅多层(≥3层)外延膜厚测量设备Eos200L+硅材料中C/O含量测量设备Eos200T优睿谱SICV200晶圆电阻率量测设备,实现了完全对标国外供应商测试性能及设备供应链的国产化目标。同时,针对碳化硅外延晶圆CV测量后有金属残留及压痕的行业痛点做了针对性创新开发,成功解决该行业痛点,目前已得到多家客户的订单。优睿谱针对碳化硅衬底晶圆位错及微管检测设备SICD200,实现了碳化硅位错检测的整片晶圆全检测,该设备已获得境外客户订单。优睿谱Eos200DSR设备,实现了SOI晶圆重掺顶层硅厚度测量。同时,可用于硅基铌酸锂厚度、晶圆背封LTO厚度及光刻胶厚度测量。优睿谱成立于2021年,由长期从事于半导体行业的海归博士领衔,协同国内资深的半导体前道制程量测设备技术团队共同发起成立,致力于打造高品质的半导体前道量测设备。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制