当前位置: 仪器信息网 > 行业主题 > >

纳米结构高速直写机

仪器信息网纳米结构高速直写机专题为您提供2024年最新纳米结构高速直写机价格报价、厂家品牌的相关信息, 包括纳米结构高速直写机参数、型号等,不管是国产,还是进口品牌的纳米结构高速直写机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合纳米结构高速直写机相关的耗材配件、试剂标物,还有纳米结构高速直写机相关的最新资讯、资料,以及纳米结构高速直写机相关的解决方案。

纳米结构高速直写机相关的资讯

  • Nature技术解析 | 3D高速纳米直写机在实现三维光学傅里叶曲面结构中的突破
    研究背景光栅和全息图是通过微纳结构表面的衍射来对光信号进行调制的。尽管这种作用方式历史悠久,但人们一直在相关领域不断的探索,以发展功能更为强大的应用。进一步的发展可以基于傅立叶光学来设计、构筑傅里叶面的微纳结构,以生成所需的衍射输出信号。在这种策略中,需要能够地调制波前,理想的样品表面轮廓应该包含正弦波的总和,每个正弦波具有明确的幅度,频率和相位。但是由于技术的局限,通常只能制备有几个深度别轮廓,无法获得复杂的连续“波浪”表面,从而限制了使用简单的数学设计而实现复杂的衍射光学效果。 研究亮点针对以上问题,苏黎世联邦理工的Nolan Lassaline博士等人,提出了一种简单而有效的方法来解决设计和制备间的差距,制备了任意数量的正弦波组成的光学表面。Nolan Lassaline等人使用扫描热探针t-SPL技术与模板法相结合的策略,制备了周期性和非周期性的光学表面结构。多元线性光栅允许利用傅里叶光谱工程调控光信号。同时,Nolan Lassaline等人克服了先前光子学实验的限制,制备了可以在同一入射角同时耦合红色,绿色和蓝色光的超薄光栅。更广泛地,Nolan Lassaline等人还分析设计并且复制了复杂的二维莫尔条纹,准晶体和全息图结构,展示了多种以前无法制备的衍射表面。Nolan Lassaline等人制备任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。图1 一维调制傅里叶曲面实际效果图图2 二维调制傅里叶曲面实际效果图图3 周期性及准周期性傅里叶表面图案 图4 傅里叶表面的应用 高精度三维刻写技术之于本工作的重要意义苏黎世联邦理工的Nolan Lassaline博士使用NanoFrazor的高精度3D功能制备了一些特的3D表面傅里叶光栅,对光波进行调控,有选择地透射或者反射选定波长的光信号,使得光栅只和选定波长的光信号相互作用。这样就可以通过简单的数学模型计算和相关波长相互作用的傅里叶光栅来调控实现的光波输出。以前还没有可以完全控制每个傅里叶光波成分和光栅相互作用的好方法。一些实验尝试使用超表面,或者波浪形表面光栅,但是由于微纳制备技术的限制,(只能使用灰度光刻实现2阶或者多阶深度的表面光栅,或者使用激光干涉光刻制备类似傅里叶波形表面)不能实现对相互作用波长的完全选择。设计或者制备不的表面会和多个波长相互作用降低有用信号的成分并增加系统的复杂性。有鉴于高精度3D纳米直写之于本工作的重要意义,NanoFrazor的高销售工程师Wu博士特别与作者Nolan Lassaline博士进行了制备工艺方面的探讨和交流,其中Nolan Lassaline博士对于NanoFrazor 3D纳米结构高速直写机的评价如下:“In the field of diffractive optics, it has been known for a long time that wavy surface patterns would be ideal for manipulating light. However, due to the limitations of traditional fabrication techniques, it has not been possible to fabricate surfaces with arbitrary wavy profiles. This has ultimately limited the capabilities of diffractive optics, stimulating decades of research aimed at solving this problem. To overcome this limitation, we took advantage of the unique 3D patterning capabilities offered by the NanoFrazor. Amazingly, this allowed us to fabricate wavy metallic diffractive surfaces with an error of only 1.8 nm. We used this remarkable precision to fabricate a variety of previously impossible diffractive surfaces that show promise for both fundamental optics research and practical applications in photonics. We envision that this approach, made possible only by the NanoFrazor, will lead to advanced optical devices of the future. Beyond diffractive optics, these novel 3D surfaces open up many exciting possibilities for science and engineering across a number of different fields.”( 大意:在衍射光学领域,很久以来人们就知道用波浪状的表面操纵调控光信号是理想的。然而,由于传统纳米制备技术的局限,不能制备出由任意正弦波形组合轮廓的表面。这终限制了衍射光学器件的功能,也激发了数十年来旨在解决这一问题的科研。我们利用NanoFrazor提供的特3D图案化功能终于突破了这一限制。更为惊讶的是,我们能够制备任意波浪形的金属衍射表面,波形误差与设计波形仅为1.8 nm。我们利用NanoFrazor非凡的高精度制备出了各种以前无法实现的衍射表面,有望更深入地探讨基础光学研究和光子学实际应用的许多课题。我们可以预想,NanoFrazor的有加工方法将改革未来先进光学器件的制备。除了衍射光学领域之外,这些新颖制备的3D波浪状表面还将开启科学和工程学许多不同研究领域的令人兴奋的新课题。)图5 傅里叶表面的设计与制备 关于本文当中傅里叶表面的设计及制备流程:A傅里叶表面的设计:先将所要制备的表面轮廓的数学表达公式(这里是在一维的正弦曲线)转换为灰度位图。图中每个像素为10 nm×10 nm,其深度别介于0和255(8位)之间。位图在白色边框内的水平方向上为正弦函数,而垂直方向不变。位图中,白色边框中的像素设置为小深度别。B银基傅里叶表面的制备工艺流程:(1)利用热扫描探针在聚合物抗刻蚀剂层中刻写设计好的纳米结构;(2)利用热蒸发工艺在刻写后的聚合物表面沉积银,厚度大于500nm;(3)利用紫外光固化环氧树脂将显微镜载玻片固定于银层背面;(4)将玻片/环氧树脂/银堆叠结构剥离下来,从而完成制备C通过模板制备得到的银基傅里叶表面。文章作者Nolan Lassaline关于本工作的讲解视频请移步至Quantum Design中国子公司官网(https://qd-china.com/zh/news/detail/2009281332211)观看。关于本工作的更多详细信息,可参考如下信息:(1)原文链接:https://www.nature.com/articles/s41586-020-2390-x?utm_source=other&utm_medium=other&utm_content=null&utm_campaign=JRCN_2_DD01_CN_NatureRJ_article_paid_XMOL(2)Nolan Lassaline博士的视频介绍资料:https://www.youtube.com/watch?v=moGtRjjhbPk
  • NanoFrazor 3D纳米高速直写技术研讨会于北航成功举办,毕加索名画及纳米线套刻工艺数秒呈现!
    为便于国内外科研学者交流新纳米结构研究成果,共同推动纳米加工学科和新技术的蓬勃发展。2017年6月23日, Quantum Design中国子公司与北京航空航天大学国际交叉科学研究院进行良好合作,在该院校微纳实验平台的基础上举办“NanoFrazor 3D纳米结构高速直写技术研讨会”,对国内外高端纳米加工技术展开详细介绍与讨论,同时就NanoFrazor Explore 3D纳米结构高速直写设备向国内各地课题组开放良好合作机会。 会议中,Quantum Design中国子公司在Swisslitho厂商及国际交叉科学研究院老师们的大力支持下,就微纳米加工技术,微纳器件制备与性能,MEMS/NEMS,光学/光子学等领域进行了现场学术交流,Swisslitho厂商技术指导在NanoFrazor纳米加工平台上现场演示了高通量光刻、纳米叠加等纳米加工工艺操作,毕加索名画及纳米线套刻工艺数秒呈现,引起了大家的大兴趣。 NanoFrazor Explore 3D纳米结构高速直写技术是一种真正意义上的纳米3D图形加工技术,可以实现多种材质的3D微纳结构加工,实现XY轴高10nm加工线宽和间距,1nm的Z向精度,广泛应用于微纳光子学,半导体器件,表面等离子激元,MEMS等研究领域,并取得多项突出研究成果。感谢北京航空航天大学国际交叉科学研究院对本次微纳研讨会成功举行提供的各项支持,期待这项IBM新研发技术能够帮助纳米直写领域的院校及老师们取得更加突出的科研成果!相关产品链接3D纳米结构高速直写机 http://www.instrument.com.cn/netshow/SH100980/C226568.htm无掩模激光直写光刻系统 http://www.instrument.com.cn/netshow/SH100980/C155920.htm
  • 全球最小的三维纳米雄鸡贺卡,3D纳米激光直写设备NanoFrazor专业定制
    金鸡报晓已迎春,元宵临近聚福门,Quantum Design China恭祝大家新春愉快,元宵吉祥。上图这幅立体逼真的画作是 Quantum Design China专为您打造的新年特别礼物。看到图像右面的坐标轴,是不是很惊讶?没错,这不是一幅手绘作品,而是借助SwissLitho公司制造的3D纳米结构高速直写设备—NanoFrazor专业定制的三维纳米雄鸡贺卡! 这幅雄赳赳气昂昂的鸡年贺卡,其尺寸仅有10μm*10μm,深度差为50nm,是目前全球小的三维纳米鸡年贺卡。整只雄鸡的微纳尺寸,以及鸡身立体的轮廓和清晰的线条,都体现了3D纳米结构高速直写机NanoFrazor让人膜拜的高直写精度(XY: 10nm, Z: 1nm)、高形貌感知灵敏度(0.1nm),另外还有高速直写,无需显影,实时观察直写效果,无临近效应,无电子/离子损伤等有的特点。 NanoFrazor纳米3D结构直写机的问世,源于发明STM和AFM的IBM苏黎世研发中心,是其在纳米加工技术的新研究成果。NanoFrazor纳米3D结构直写机采用直径为5nm的探针,通过静电力控制实现直写3D高精度直写,并通过悬臂一侧的热传感器实现实时的形貌探测,次将纳米尺度下的3D结构直写工艺快速化、稳定化。该技术自问世以来已经多次刷新了上小3D立体结构的尺寸,创造了上小的马特洪峰模型,小立体地图,小刊物封面等记录。2016年10月,瑞士Swisslitho公司又发布了一款NanoFrazor Scholar,这款小型的纳米加工设备竟然可以放置在实验室桌面上,而且分辨率依然可达到XY:10nm;Z:2nm,轻松实现小于20nm的线宽与间距,更加便于课题组内进行纳米原型器件、微纳光学/光子学/磁学,NEMS、超材料等领域纳米机构与器件的设计与制备,是纳米结构和器件加工制备领域的之选。 2017的年味儿少不了科学的情怀,少不了我们对未知的探索和追求,带着NanoFrazor专业定制的全球小的三维纳米雄鸡贺卡,Quantum Design China祝愿大家在新的科学年中创意无限,收获满满!2017,Quantum Design China将继续伴您左右,提供丰富、的科研设备,便捷、专业的售后服务,助力您的科学研究更有说服力,更具创造力! 相关产品: 3D纳米结构高速直写机NanoFrazor: http://www.instrument.com.cn/netshow/C226568.htm小型台式无掩模光刻系统: http://www.instrument.com.cn/netshow/C155920.htm
  • ETH Zurich Norris教授课题组:3D纳米直写技术助力任意形貌六方氮化硼(hBN)纳米3D结构的制备
    【引言】六方氮化硼(hBN)单晶纳米片的原子平滑表面,为光电应用领域带来了革 命性的突破。在纳米光学方面,hBN的强非线性、双曲线色散和单光子发射等特性,为相应的光学和量子光学器件带来一些有性能。在纳米电子学领域,良好的物理,化学稳定性和较宽的禁带,使hBN成为二维电子器件的关键材料。目前,对hBN的研究重点局限于二维扁平结构,尚未涉其3D立体结构对性能的影响。如果能根据需求对hBN纳米片的高度做出相应调整,将为下一代光电器件中调节光子流,电子流和激子流等性能提供一个有效的方法。 【成果简介】近日,Norris教授课题组利用3D纳米直写技术和反应离子刻蚀的方法制备出可任意调控形貌的hBN纳米3D结构。此类hBN纳米3D结构在光电子器件研究领域尚属次。得意于3D纳米结构高速直写机(NanoFrazor)在光刻胶上能实现亚纳米精度的加工,Norris教授课题组运用该方法制备了光电子学相板、光栅耦合器和透镜等元件。获得的元件通过后续组装过程制备成高稳定、高质量的光学微腔结构。随后,通过缩小图形长度比例的方法,引入电子傅里叶曲面,在hBN上实现复杂的高精度微纳结构,展现了NanoFrazor在3D纳米加工领域的潜力。【图文导读】图1. 使用NanoFrazor制备hBN纳米3D结构流程图(a)左图为利用NanoFrazor在光刻胶表面上实现3D结构制备,右图为通过反应离子刻蚀方法将光刻胶上的3D结构转移到hBN的流程;(b)Mandelbrot分形图案刻蚀在光刻胶上的结果。黑色代表图形的 高处,白色为 低处;(c)光刻胶上的Mandelbrot分形图案通过图(a)中的过程转移到hBN上的结果;(d)图(c)中hBN的SEM(倾转30o)表征结果。图2. 利用NanoFrazor在hBN上制备任意形貌的纳米3D结构(a)白色中线左侧为准备的高密度图形样图,右侧为通过NanoFrazor将高密度图形转移到hBN后的实际结果;(b)将图(a)中的图形转移到hBN后的SEM表征结果;(c)AFM测量图(a)中红色虚直线所示部分的表面形貌;(d)hBN纳米3D结构的高分辨成像,左下角厚度为95 nm,右上角厚度为50 nm;(e)AFM测量hBN中高密度方形结构(29 nm)周期性图样结果,体现了NanoFrazor对制备结构的高度可控性;右上角插图是该周期性结构的快速傅里叶变换(FFT)结果。 图3. 利用NanoFrazor制备的hBN光学微纳元件(a)在130 nm厚hBN上制备螺旋相位板阵列的光学表征结果;(b)单个螺旋相位板的AFM结果;(c)具有球形轮廓的hBN微透镜光学显微照片;(d)微透镜理论图样(左侧)和实际制备结果(右侧)比较;(e)光学微腔的示意图,镜、底镜、hBN微透镜(蓝色)和带横向限制(黑色箭头)的腔模式(红色);(f)拥有hBN微透镜的微腔角分辨光谱结果;(g)根据制备的微腔几何结构所计算的横向Ince-Gaussian模分布结果;(h)测量的横向Ince-Gaussian分布结果。图4. hBN上制备的电子傅里叶曲面(a)具有六边形晶格的电子傅里叶曲面位图;(d)将两个六边形晶格与一个在平面上旋转10°的晶格叠加而成的位图;(g)两个叠加的六边形晶格的位图,周期分别为55和47 nm,无平面内旋转;(j)将九个位图分别在平面内旋转0、20、40、60、80、100、120、140和160°后的叠加效果;(b)、(e)、(h)、(k)为使用NanoFrazor在光刻胶上制备(a)、(d)、(g)、(j)中图形时所获得的结果;(c)、(f)、(i)、(l)、是把(b)、(e)、(h)、(k)中的图案刻蚀在hBN上的AFM测量结果;(a)-(l)中的插图代表着相应图案的FFT结果。【小结】本文利用NanoFrazor有的3D纳米直写技术在hBN上实现了复杂高精度纳米3D结构的制备,为光电器件性能的应变调控和能带结构调控带来了新的研究方向。这一研究结果表明,NanoFrazor在开拓双曲线超材料、化电子、扭转电子、量子材料和深紫外光电器件等领域新的研究方向上有着重要的作用。
  • NanoFrazor激光直写 “Merry Christmas”,献上微纳结构加工的圣诞祝福
    3d christmas card made by the nanofrazor in ppa resist.the dimensions: 12μm*7μm , depth from 0 to 60nm 这幅圣诞贺卡的整个画面尺寸仅有12μm*7μm,厚度仅有60nm,图中“Merry Christmas”字迹清晰且格外流畅,风景刻画得栩栩如生,在圣诞的钟声敲响之前,Quantum Design中国子公司献上的这幅Nanofrazor直写的“Merry Christmas”纳米结构一定能够为您带来好的圣诞祝福。 Nanofrazor书写的纳米结构欣赏 Nanofrazor纳米3D结构直写机的问世,源于发明STM和AFM的IBM苏黎世研发中心,是其在纳米加工技术的新研究成果。Nanofrazor纳米3D结构直写机采用直径为5nm的探针,通过静电力控制实现直写3d高精度直写,并通过悬臂一侧的热传感器实现实时的形貌探测,次将纳米尺度下的3D结构直写工艺快速化、稳定化。该技术自问世以来已经多次刷新了上小3D立体结构的尺寸,创造了上小的马特洪峰模型,小立体地图,小刊物封面等记录。2016年10月,瑞士swisslitho公司又发布了一款NanofrazorS cholar,这款小型的纳米加工设备竟然可以放置在实验室桌面上,而且分辨率依然可达到xy:10nm;z:2nm,轻松实现小于20nm的线宽与间距,更加便于课题组内进行纳米原型器件、微纳光学/光子学/磁学,NEMS、超材料等领域纳米机构与器件的设计与制备,是纳米结构和器件加工制备领域的之选。 Nanofrazor落户澳大利亚墨尔本微纳加工中心 澳洲台Nanofrazor系统也于近日在墨尔本纳米加工中心(MCN)成功安装,该纳米加工中心是澳大利亚大的对外公开的纳米加工洁净室。斯温伯尔大学的SauliusJuodkazis教授率先推动Nanofrazor在MCN的采购,并获得墨尔本大学、莫纳什大学和斯威本科技大学出资支持。他们都将受益于纳米制造新技术带来的许多新的可能性,而这些新的机遇和可能主要来自Nanofrazor的高分辨率和3D纳米结构的制备能力。 相关产品: 3d纳米结构高速直写机nanofrazor : http://www.instrument.com.cn/netshow/c226568.htm
  • 理化所三维金属纳米结构飞秒激光加工获重要进展
    中科院理化技术研究所段宣明团队、日本理化学研究所河田聪团队通过合作,近日在利用飞秒激光多光子纳米加工技术进行三维微纳结构制备的研究中获得重要进展,成功突破了光学衍射极限,实现了纳米尺度的三维金属纳米结构加工。近年来,利用飞秒激光直写技术进行三维纳米结构加工,已成为一个广泛受到关注的研究工作。该研究团队利用基于非线性光学原理的飞秒激光多光子直写纳米加工技术,突破衍射极限,利用多光子聚合反应成功地获得纳米尺度加工分辨率,并实现了功能性纳米复合材料的三维微纳结构加工。金属纳米材料与结构在电子信息、生物检测等多个领域有重要应用前景,但是加工制备具有各种金属三维纳米结构,仍然是目前国际上研究开发的热点与难点。在利用飞秒激光多光子三维纳米加工技术进行金属纳米结构加工的研究中,加工分辨率长期徘徊在微米至亚微米尺度范围,未能实现突破光学衍射极限的纳米尺度加工。针对飞秒激光多光子还原制备金属纳米结构过程中,金属纳米粒子在激光作用下易于生长成为大块晶体的问题,研究团队提出了利用表面活性剂限制金属纳米材料生长,以获得三维金属纳米结构的思路。他们在硝酸银水溶液中添加了含有肽键的羧酸盐阴离子表面活性剂,使多光子光化学还原的银纳米粒子由微米及亚微米尺度不均一分布,成为尺寸约20纳米的均一分布,获得了仅为约激光波长六分之一的120纳米线宽的银纳米线,成功地突破光学衍射极限,实现了纳米尺度加工与三维金属纳米结构的加工。同时,激光加工所用功率也由数十毫瓦降低到了一毫瓦以下,为进行金属纳米结构的多光束平行快速加工奠定了技术基础。该项研究工作成果发表在5月18日出版的Small上。该研究工作所展示的任意三维金属纳米结构加工能力,使飞秒激光多光子三维纳米加工技术具备了在微纳电子器件的三维金属纳米布线与三维金属T型栅、人工介质材料、亚波长等离子光学器件、表面等离子生物传感器及太阳能三维纳米电极等纳米器件制备中获得广泛应用的可能性。中国科学院、科技部国际科技合作计划、日本科学技术振兴机构对该研究工作给予了支持。
  • 飞秒激光烧蚀制备大面积均匀纳米结构进展
    最近,在中国科学院院士徐至展领导下,中山大学光电材料与技术国家重点实验室与中国科学院上海光机所强场激光物理国家重点实验室展开合作研究,在飞秒激光烧蚀制备大面积均匀纳米结构方面取得重要进展,相关成果发表在《光学快报》(Optics Express) (2008, 16, 19354-19365))。纳米科技领域国际著名期刊Small (2008, 4, No. 12, 2099)在News from the micro-nano world栏目以“大面积均匀纳米结构”(Large-area Uniform Nanostructures)为题专门报道了这项研究成果,并将它与美国科学家近期实现的“大面积组装单壁碳纳米管三维结构”并列为微纳结构合成制备新方法 另外,自然中国网站于2008年12月10日在Research Highlights栏目中也专栏推荐并重点介绍了该成果。  飞秒激光烧蚀具有低的破坏阈值及小的热扩散区的特点,可实现对材料的“非热”微加工,从而大大减小传统长脉冲激光加工中热效应带来的负面影响,显著提高加工精度,在光电器件微加工领域具有广阔的应用前景。但是由于传统激光直写方法的效率较低,目前飞秒激光烧蚀制备微纳结构在实际应用中尚不具备高的经济性。因此,探索如何直接用飞秒激光烧蚀高效地制备大面积均匀纳米结构是当前飞秒激光微加工领域的一个研究热点。  博士生黄敏及其导师徐至展等采用飞秒激光辐照自诱导亚波长纳米结构的途径,通过调控飞秒激光脉冲的波长、能量、偏振等条件并采用新颖的快速非相干调制技术,成功地在氧化锌、硒化锌等宽带隙材料及石墨表面实现了纳米光栅、纳米颗粒及纳米方块结构的大面积制备。这种利用飞秒激光烧蚀直接制备纳米结构的方法具有均匀性好,效率高,热效应小,通用性高,环保等优点,并克服了以往飞秒激光烧蚀制备纳米结构过程中的二度污染问题。更为重要的是,经过这种方法处理后,材料表面的光电特性发生了显著的改变,并可随纳米结构的改变而呈现不同的光谱特征。这种方法在新型光电器件等方面具有重要的潜在应用价值,有望提高LED照明器件的发光效率和增加太阳能电池的吸收效率。(来源:中科院上海分院)  (《光学快报》(Optics Express ),Vol. 16, Issue 23, pp. 19354-19365,Min Huang,Zhizhan Xu)
  • Nature | 我国科学家首次获得纳米级光雕刻三维结构
    14日夜,国际顶级学术期刊《自然》发表了我国科学家在下一代光电芯片制造领域的重大突破。南京大学张勇、肖敏、祝世宁领衔的科研团队,发明了一种新型“非互易飞秒激光极化铁电畴”技术,将飞秒脉冲激光聚焦于材料“铌酸锂”的晶体内部,通过控制激光移动的方向,在晶体内部形成有效电场,实现三维结构的直写和擦除。这一新技术,突破了传统飞秒激光的光衍射极限,把光雕刻铌酸锂三维结构的尺寸,从传统的1微米量级(相当于头发丝的五十分之一),首次缩小到纳米级,达到30纳米,大大提高了加工精度。这一重大发明,未来或可开辟光电芯片制造新赛道,有望用于光电调制器、声学滤波器、非易失铁电存储器等关键光电器件芯片制备,在5G/6G通讯、光计算、人工智能等领域有广泛的应用前景。
  • NanoFrazor——纳米加工最新技术攻略
    科学技术不断发展的时代,功能结构的微纳米化不仅可以带来能源与原材料的节省,同时可以实现多功能的高度集成和生产成本的大大降低。微纳米加工技术主要分为直接加工技术和图形转移技术。直接加工技术有激光加工,聚焦离子束(FIB)刻蚀,Local Anodic Oxidation局部阳氧化(基于AFM),Dip Pen NanoLithography浸蘸笔纳米加工刻蚀等; 图形转移技术主要分为三个部分:薄膜沉积,图形成像(必不可少),图形转移。作为微纳加工工艺的核心,图形生成工艺可分为三种类型:(1) 平面图形化工艺,探针图形化工艺,模型图形化工艺。平面图形化工艺的核心是平行成像特性,主要包括光刻技术(掩模,直写),电子束曝光(EBL);(2) 探针图形化工艺是利用高精度探针对样品或涂层进行逐点扫描成像技术,具有精度高,部分实现直写,3D加工等,代表技术有:热式扫描探针技术(NanoFrazor);(3) 模型图形化工艺是利用微纳米尺寸的模具复制出相应的微纳米结构,典型工艺是纳米压印技术(NIL),还包括模压和模铸技术。 虽然目前微纳加工技术众多,但能够实现纳米(100nm以下)分辨率的结构加工仅有: 聚焦离子束刻蚀(FIB),纳米压印技术(NIL) 和 电子束曝光(EBL)。聚焦离子束刻蚀(FIB) 采用聚焦后的离子束撞击材料表面并实现去除基体材料的目的,可实现3D纳米结构直写,适用材料广泛,但加工精度不高;纳米压印NIL采用具有纳米微结构的模板将其上的图形转移到其他材质上,效率高,但模板本身需要其他工艺制备,一般采用EBL,模板价格昂贵,无法修改图形,适用于大批量生产;电子束曝光利用聚焦电子束将胶体改性,经过显影高可实现10 nm精度的加工,是传统高精度加工的典范,但其价格昂贵,操作繁杂,临近效应使得两个结构无法贴近。 瑞士Swisslitho公司的 3D纳米结构高速直写机NanoFrazor采用IBM苏黎世研究中心研发多年的热探针扫描刻写技术及新型的直写胶技术,创新地将基于热探针的纳米结构刻 写和基于冷探针形貌读取相结合,实现高精度3D 纳米结构的直写和实时的形貌探测功能。该技术创新获得R&D杂志2015年R&D top 100大奖。NanoFrazor凭借其10 nm的加工精度和0.1 nm精度的形貌探测能力,成为纳米加工领域的新技术。NanoFrazor技术特点:背热式扫描探针: Swisslitho采用特殊工艺,以Si材料制备背热式直写探针,其探针针直径小于5nm(图1)。通过改变针背部区域的掺杂量,实现电压控制下的局域加热,而探针其他位置不受影响。加热区温度高达1000℃,针温度可300-600℃。探针侧臂设计有热传感器用于形貌探测,形貌探测精度高达0.1 nm。性能的直写胶PPA: IBM苏黎世实验室开发的用于纳米加工的PPA直写胶(resist), 其特点在于当温度高于150℃,PPA会受热瞬间分解为有机分子单体,随着保护气排出。当加热的探针靠近PPA到一定范围,针附近的PPA会瞬间分解成气体分子,留下针形状的孔洞,而孔洞周围部分由于PPA热导率低而不受影响。有效避免了普通高分子材料的熔融堆积效应影响分辨率和针寿命。 多个探针的孔洞组合,形成高精度图形,通过控制下针的深度,可以实现3D纳米结构的加工。NanoFrazor书写的纳米结构欣赏:3D高速直写的结构和吉尼斯纪录制备在PPA胶和Si基底上的周期性结构 NanoFrazor无临近效应,非常容易制备临近的纳米结构,如蝴蝶结天线和周期性结构NanoFrazor能够实现纳米线,二维材料涂胶后无标记物的定位和形貌观察,并实施特定方向的形状,器件,电等设计 实现功能结构微纳米化的基础是先进的微纳米加工技术,微纳米加工中的更多技术细节的改善和优化是科研领域及仪器设备厂商不断追求的技术方向,NanoFrazor也在不断尝试更、更便捷,成为性价比更高的、更具实力的3D直写设备。相关产品:3D纳米结构高速直写机
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • 飞秒激光在ITO薄膜表面诱导周期性透明纳米导线
    使用线偏激光照射金属、半导体、透明介质等材料产生表面周期结构(laser induced periodic surface structures,LIPSS)是一种普遍的现象,LIPSS的周期取决于激光条件和材料的性质,在接近入射激光波长到小于波长的十分之一范围变化。这些周期性纳米结构可用于有效地改变材料的性质,并在表面着色、光电特性调控、双折射和表面润湿性等方面有许多应用。氧化铟锡(indium tin oxide,ITO)具有较宽的带隙,对可见光与近红外波段有很高的透射率,ITO薄膜具有较低的电阻率,是液晶面板、新型太阳能电池等元件的重要组成部分。一直以来,发展制备ITO薄膜的新方法,调控ITO薄膜的光电特性是非常重要的研究课题,而在激光加工领域,使用激光在ITO薄膜诱导LIPSS是一个有效且简便的方法。华东师范大学精密光谱科学与技术国家重点实验室贾天卿教授课题组探究了一种通过飞秒激光直写在ITO薄膜表面加工LIPSS的方法,并详细分析了不同激光参数下加工的ITO薄膜在可见到红外光波段的透射率与其各向异性电导率的变化规律。合适的激光参数可以在ITO薄膜上有效地加工大面积低空间频率的LIPSS,这些LIPSS能够表现出独立纳米导线的特性,并且在电学特性上具有良好的一致性。结果表明,飞秒激光直写过程中并不会改变材料的性质,而且与原始的ITO薄膜相比,具有规则LIPSS的ITO薄膜在红外波段的平均透射率提高了197%。这对于将ITO薄膜表面加工规则的LIPSS作为透明电极应用于近红外波段的光电器件具有重要的意义。如图1,原始ITO薄膜的面电阻各向同性。随着激光能流密度的增加,垂直和水平于LIPSS方向的面电阻迅速增加且变化梯度不同,出现了明显的各向异性导电性,当ITO薄膜表面出现规则且独立的LIPSS结构以后,在一定能流密度范围,ITO薄膜能够在不同方向上显现出单向导电/绝缘的电学特性。图1 扫描速度为3 mm/s时,不同能流密度激光辐照后ITO薄膜的面电阻。图中给出了电学测量中横向(Transverse)与纵向(Longitudinal)的定义通过调节激光的能流密度,可以在一个较大的范围内制备出不同形貌的纳米导线(LIPSS)。图2(a)展示了不同能流密度的飞秒激光加工的纳米导线扫描电镜图像。在能流密度上升的过程中,纳米导线的宽度从537 nm降低到271 nm。纳米导线的高度从平均220 nm降低到142 nm,如图2(b)所示。纳米导线的单位电阻随着能流密度的上升从15 kΩ/mm上升到73 kΩ/mm,这是由于纳米导线的宽度与高度都在同步下降造成的,如图2(c)。图 2 (a)不同能流密度下的纳米导线的扫描电镜图像;(b)纳米导线的高度与宽度随着能流密度的变化情况;(c)纳米导线的单位电阻与电阻率随着能流密度的变化情况如图3,原始厚度为185 nm的ITO薄膜在1200~2000 nm的近红外光谱范围内的平均透射率为21.31%。经过飞秒激光直写后,当能流密度在0.510~ 0.637 J/cm2的范围内,ITO薄膜对于近红外的透过率达到54.48%~63.38%,相较原始的ITO薄膜得到了156%~197%的提高。同时,飞秒激光直写后的ITO薄膜在可见光波段的透过率略微提高且曲线较为平滑。通过调节激光的能流密度,ITO薄膜在近红外的透过率能够得到显著提高,并且能够保持较好的导电性。图 3 扫描速度为3 mm/s时,不同能流密度激光直写后的ITO薄膜的透射率。在0.637 J/cm2时红外波段(1200~2000 nm)透过率为63.38%该工作近期以“Periodic transparent nanowires in ITO film fabricated via femtosecond laser direct writing”为题发表在Opto-Electronic Science (光电科学)。
  • Nanotechnology:采用热扫描探针光刻和激光直写相结合的方法快速制备点接触量子点硅基晶体管
    制造高品质的固态硅基量子器件要求高分辨率的图形书写技术,同时要避免对基底材料的损害。来自IBM实验室的Rawlings等人利用SwissLitho公司生产的3D纳米结构高速直写机NanoFrazor,结合其高分辨热探针扫描技术和高效率的激光直写功能,制备出一种室温下基于点接触隧道结的单电子晶体管(SET)。利用扫描探针可以确定佳焦距下的Z向位置,同时确定扫描探针和激光直写的位置补偿,研究人员在兼顾高分辨和高效率书写条件下得到小于100nm的度。利用CMOS工艺兼容几何图形氧化流程,研究人员在N型简并掺杂(>1020/cm3)的缘硅基底上制备出该SET器件。所研究的三种器件的特性主要由Si纳米晶和嵌入SiO2中的P原子所控制,进而形成量子点(QDs)。量子点上电子尺寸微小且局域性强,保证了SET在室温情况下的稳定运行。温度测量结果显示在100 – 300 K的范围内,电流主要由热激发产生,但在<100K时,主要以隧道电流为主。在硅基量子点器件的制备过程中,内部精细的功能器件区域一般要求高分辨率书写,但是在外部电相对粗糙的连接处仅需要高效的相对低分辨率刻蚀,这就是所谓的“混合搭配光刻”(mix-and-match lithography)。但是两种不同原理的书写技术结合应用会增加工作量,同时带来图形转移过程的位置偏差和对样品表面的污染。在本工作中,3D纳米结构高速直写机NanoFrazor系统将激光直写技术与高分辨热探针书写技术(XY: 10nm,Z: 1nm)相结合(如图1所示),这样可以利用热探针技术实现高分辨率区域的图形书写,而利用激光直写技术实现低分辨率区域的快速书写(如图2a所示, 蓝色区域为激光直写区域,深绿色区域为热探针书写区域),后实现一次性书写整体图形的高效性,同时避免了不必要流程所导致的表面污染和位置偏差。 图1:a) 热探针和激光透镜的结构示意图。b) 热探针连接在Z向压电传感器和位移台上,平行激光经透镜聚焦在样品表面。通过摄像头收集反射光实现样品成像,利用探针和激光的位置补偿进行表面书写。 图2:单电子器件(SET)的制作工艺流程示意。a) 器件图形示意,粉色区域为制备SET前的预图形书写区域。图形中央30μm×30μm区域中包含利用激光直写区域(蓝色)和利用热探针技术书写区域(深绿色);b) 位置校准示意;c) 对书写区域进行定位。d) 利用热探针技术进行高分辨率书写(图2a中深绿色区域);e) 利用激光直写技术进行低分辨率快速书写(图2a中蓝色区域);f) 利用RIE实现图形向硅层转移;g) 通过热氧化得到器件通道中的点接触通道。 IBM专门研发设计的NanoFrazor 3D纳米结构高速直写机所采用的针是具有两个电阻加热区域,针上方的加热区域可以加热到1000℃,二处加热区域作为热导率传感器位于侧臂处,其能感知针与样品距离的变化,精度高达0.1nm。因此,在每行直写进程结束后的回扫过程中,并不是通过针起伏反馈形貌信息,而是通过热导率传感器感应形貌变化,从而实现了比AFM快1000余倍的扫描速度,同避免了针的快速磨损消耗。NanoFrazor 3D纳米结构高速直写机与传统的微纳加工设备,如纳米醮印、激光直写、聚焦离子束刻蚀FIB、电子束诱导沉积、电子束光刻EBL等技术相比,具有高直写精度 (XY: 高可达10nm, Z: 1nm)以及高直写速度(20mm/s 与EBL媲美),具备实时形貌探测的闭环刻写技术以及无需标记拼接与套刻等特技术优势。加上其性价比高,使用和维护成本低,易操作等特点,成为广受关注的纳米加工设备。拓展阅读:Fast turnaround fabrication of silicon point-contact quantum-dot transistors using combined thermal scanning probe lithography and laser writingC. Rawlings, Y. K. Ryu, M. Rüegg, N. Lassaline, etc.DOI: 10.1088/1361-6528/aae3df
  • 多功能显微镜助力一篇AFM!3D纳米几何结构新突破
    论文题目:Spectral Tuning of Plasmonic Activity in 3D Nanostructures via High-Precision Nano-Printing发表期刊:Advanced Functional Materials IF: 19.924DOI: 10.1002/adfm.202310110【引言】 等离子体纳米颗粒由于具有特殊的光学特性被广泛应用于光电器件、化学和生物传感器等领域。若想调节纳米结构的等离子效应,则需要准确地制备出具有特定几何形状的3D纳米结构。目前,等离子纳米结构主要采用纳米颗粒或纳米颗粒阵列,通过纳米狭缝自组装法等手段,制备相应的等离子体纳米结构。可是,在制备等离子体纳米结构的过程中,由于受到了光刻等技术手段的限制,所制备的纳米结构多为2D平面结构。对于制备具有准确几何形状的3D等离子体纳米结构的相关研究尚属空白。【成果简介】 近日,格拉茨技术大学相关团队提出了基于聚焦电子束诱导沉积(Focused Electron Beam Induced Deposition,FEBID)方法制备具有准确纳米尺度3D几何结构的等离子体纳米结构。同时,作者通过FusionScope多功能显微镜和透射电镜(TEM)对相应的3D纳米结构进行了原位几何尺寸的表征。然后,使用扫描透射电子显微镜的电子能量损失谱仪(STEM-EELS)对所制备的3D纳米结构的等离子性能进行表征。所测量的结果与相关模拟计算结果相比,两者结果相互吻合,证明了通过FEBID的方法制备3D等离子体纳米结构的可行性。相关工作以《Spectral Tuning of Plasmonic Activity in 3D Nanostructures via High-Precision Nano-Printing》为题在SCI期刊《Advanced Functional Materials 》上发表。 本文使用的FusionScope多功能显微镜创新性地将SEM和AFM技术深度融合,利用SEM进行实时、快速、精准导航AFM针尖,实现同一时间、同一样品区域和相同条件下的SEM&AFM原位精准定位与测量;测量时也可以实时观察AFM悬臂的尖端,在不需要转移样品的情况下,原位进行80° AFM与样品台同时旋转,对几乎所有样品(包括复杂样品)均可以实现无视野盲区观测;其丰富的功能选件如力曲线、导电原子力显微镜(C-AFM)和磁力显微镜(MFM)以及EDS能谱仪,可有效实现多维度同区域的高级测量。本文将简要阐述FusionScope多功能显微镜对不同平面结构的等离子体样品观测结果。 图1. FusionScope多功能显微镜【图文导读】图2. 制备、清除和3D加工能力展示。(a)气体注入系统(GIS)将金属气体前驱物分子(Me2(acac)Au(III))注入到基底附近,利用聚焦电子束形成在基底上形成沉积。(b-g)展示了FEBID制备复杂构型的3D纳米结构的能力。(h)运用聚焦电子束去除碳的过程。图3. 不同平面结构的等离子体测量结果。(a)利用FusionScope多功能显微镜的原位AFM功能测量的在制备后和清除后的微纳结构变化区别。(b)通过原位AFM测量的在去除前后所制备纳米结构的体积变化。(c)部分去除样品的STEM-EELS能谱。(d-l)不同设计下的等离子体测量结果。图4. 利用FusionScope多功能显微镜获取用于模拟的数据。(a-b)利用FusionScope多功能显微镜中的SEM对AFM进行引导,在放置在TEM网格上的Au纳米线进行测量。(c)对FusionScope所获得的数据和TEM所获得的数据进行相互验证。(d)FusionScope测量Au纳米线的高度为24 nm,半峰宽为51 nm。图5. Au纳米线的等离子性能的实验和模拟结果。(a) Au纳米线在不同能量损失下的EELS模拟结果。(b)Au纳米在不同能量损失下的EELS实验结果。(c)在纳米线的边缘部分(d)中蓝色区域的EELS实验和模拟对比结果。(e)为Au纳米线的中间部分(d)中绿色区域的EELS的模拟和实验结果。图6. 可进行光谱调谐的等离子体3D纳米结构的实验和模拟结果。(a)在3D纳米结构尖端部分的EELS结果,实线为实验结果,虚线为模拟结果。(b-c)不同形貌的3D纳米结构的实验和模拟结果。(d)不同形貌的纳米结构的三个显著共振峰位置的实验和模拟结果。【结论】 论文中,格拉茨技术大学相关团队通过FEBID的方法制备了具有纳米级精度的3D等离子体纳米结构。在制备相关纳米结构过程中,通过FusionScope系统对所制备的纳米结构进行了原位的几何结构表征,为模拟过程提供了数据支持。Quantum Design公司研发的FusionScope多功能显微镜,通过特有的共坐标系统,解决了原位联合显微分析中不同表征方式无法共享微区的问题,又通过优化AFM和SEM工作流给用户提供了一个清晰简单的操作流程,为原位微区信息的获取提供了极大的便利。此外,FusionScope还可以通过更换不同AFM探针,实现对样品三维形貌,力学性能,电学性能和磁学性能的综合物性表征。 样机体验: 为了更好的为国内科研工作者提供专业技术支持和服务,Quantum Design中国北京样机实验室开放Fusionscope多功能显微镜样机体验活动,我们将为您提供样品测试、样机参观等机会,欢迎各位老师垂询!
  • ACS Nano:原子层沉积技术助力复杂纳米结构的合成和精准调控取得新进展
    MoS2(二硫化钼),由于其优异的带隙结构(直接带隙为1.8 eV),高表面体积比和的场效应晶体管(FET,field effect transistor)性能,已成为具代表性的二维过渡金属硫族化合物(TMDC, transition-metal dichalcogenide)。使用纳米晶(Nano-Crystal,NC)修饰MoS2,即可以保持每个组成部分的立特性,同时又提供了复合材料产生的协同特性,大的扩展了MoS2材料的应用领域。控制纳米晶(NC)在 MoS2基底上的形貌,包括浓度,尺寸大小和表面体积比,对电子器件的整体性能影响是至关重要的。原子层沉积技术(ALD,Atomic layer deposition)是基于自限制的表面化学反应,对缺乏表面活化学反应基团的二维材料可实现选择性表面纳米晶修饰,其中NC大小可以通过循环次数来控制。美国斯坦福大学化学工程学院的Stacey F. Bent教授,通过使用台式三维原子层沉积系统-ALD发现了一种合成ZnO修饰MoS2基杂化纳米结构(纳米片或纳米线)的新方法。ZnO纳米晶的特性,包括浓度、大小和表面体积比,可以通过控制ZnO循环次数以及ALD磺化处理得到的MoS2衬底的性能来进行系统的合成和调控。通过材料化学成分(XPS以及 Raman),显微镜观察(TEM, SEM)和同步加速器X射线技术(GIWAXS) 分析ZnO与ALD沉积次数的相互关系,并结合量子化学计算的结果,作者阐明了ZnO在MoS2衬底上的生长机理及其与MoS2衬底性能的关系。MoS2纳米片的缺陷密度和晶粒尺寸可以由MoO3的硫化温度进行控制,ZnO纳米晶会选择性地在MoS2表面的缺陷位置处成核,且尺寸随着ALD循环次数的增加而增大。ALD循环次数越高,ZnO纳米晶的聚结作用越强,使得ZnO在MoS2衬底表面的覆盖和自身尺寸大幅增长。此外,复合结构的几何形貌可以通过改变MoS2衬底的取向进行调控,即采用MoS2的垂直纳米线(NWs,nanowires)作为ALD ZnO NCs的衬底,可以大幅改善复合结构的表面体积比。该类材料有望用于一些新拓展的领域,尤其是依赖过渡金属卤化物和NCs相互耦合结构的,如基于p−n异质结的传感器或光电器件。该工作发表在2020年的国际知名期刊ACS Nano (2020, 14, 1757−1769)上。图1. (a)ZnO@MoS2复合纳米结构示意图;(b)800°C-MoS2表面的HR-STEM图像;(c)两步合成二硫化钼的工艺,即在三个不同的退火温度下(600,800,和1000°C)下使用H2S硫化ALD 合成的MoO3;(d)600 °C-, 800 °C-, 和1000 °C-MoS2的Raman光谱图,(e)Zn 2p XPS谱图(循环次数为50次),(f)相对原子比 Zn/(Zn + Mo),(g)TEM图像,(h)表面覆盖度,(i)MoS2表面ZnO颗粒的数密度及(g)GIWAXS(grazing incidence wide-angle X-ray scattering,掠入射小角X射线散射) 图样(不同沉积次数下);(k)800 °C-MoS2 纳米线的SEM,TEM和HR-TEM图像;(l)DEZ(diethylzinc,二乙基锌)反应的量子化学计算结果,在MoS2的边缘位和基面上进行DFT分析,黄色和绿色原子分别表示S和Mo。 上述工作中作者团队采用的原子层沉积设备来自于美国ARRADIANCE公司的GEMStar系列台式三维原子层沉积系统-ALD(如图2所示),其在小巧的机身(78 * 56 * 28 cm)中集成了原子层沉积所需的所有功能,可多容纳9片8英寸基片同时沉积。全系配备热壁,结合前驱体瓶加热,管路加热,横向喷头等设计,使温度均匀性高达99.9%,气流对温度影响减少到0.03%以下。高温度稳定度的设计不仅实现在8英寸基体上膜厚的不均匀性小于99%,而且更适合对超高长径比的孔径3D结构等实现均匀薄膜覆盖,对高达1500:1长径比的微纳深孔内部也可实现均匀沉积。GEMStar系列ALD系统广泛应用于高深宽比结构沉积,半导体微纳结构制备,微纳粉末包覆等,服务于锂离子电池,超电容器,超电容器,LED等研究领域。图2. 美国ARRADIANCE公司生产的GEM-tar系列台式三维原子层沉积系统 参考文献:[1]. Il-Kwon, et al., Synthesis of a Hybrid Nanostructure of ZnO-Decorated MoS2 by Atomic Layer Deposition., ACS nano., 2020,14(2), 1757-1769.
  • 中法生物矿化与纳米结构联合实验室挂牌
    2010年9月6日,在中国科学院地质与地球物理研究所举行了“中-法生物矿化与纳米结构联合实验室(Laboratoire International Associe Franco-Chinois de Bio-Mineralisation et Nano-Structures, 简称LIA_BioMNSL)”第一届科学指导委员会会议暨实验室揭牌仪式。中-法生物矿化与纳米结构联合实验室由中国科学院、中国农业大学与法国国家科研中心、法国原子能总署的9个实验室联合成立。  中国科学院地质与地球物理所赵平副所长主持了会议和揭牌仪式。中国科学院院士、地质与地球物理所所长朱日祥研究员、中国科学院资源环境科学与技术局副局长常旭研究员、法国国家科研中心生命科学局副局长马蒂尼德费教授、法国驻华使馆科技参赞包若柏先生、生物局国际合作处处长弗兰克巴居斯教授出席了会议并讲话。  中国科学院资源环境科学与技术局常旭副局长和法国国家科研中心生物局马蒂尼德费副局长共同为“中-法生物矿化与纳米结构联合实验室(LIA_BioMNSL)”揭牌。  中-法生物矿化与纳米结构联合实验室的中方成员实验室包括:地质与地球物理所古地磁与年代学实验室、海洋所海洋微生物实验室、电工所生物电磁学实验室、中国农业大学农业生物技术实验室、福建物质结构所纳米尺度化学与生物学实验室。法方成员实验室包括:法国科研中心马赛地中海微生物所细菌化学实验室、图卢兹系统分析和结构实验室、法国能源总署生物环境和生物技术所细胞生物能源实验室、巴黎地球物理所古地磁学与矿物学实验室。
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。 图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
  • 国家纳米中心等在微纳制造方法研究种获进展
    微纳加工是纳米研究的两大基础之一,备受重视。然而,随着各种新型器件和结构的出现,常规的微纳加工方法已无法完全满足需要,激发了人们探索更高性价比、更强加工能力的非常规加工方法。中国科学院国家纳米科学中心刘前团队基于自主开发的新概念激光直写设备,开发出多种非常规加工方法。近日,该团队在物理不可复制功能(PUF)防伪标签研究中取得新进展。相关研究成果以Random fractal-enabled physical unclonable functions with dynamic AI authentication为题,在线发表在《自然-通讯》(Nature Communications)上。   当前,传统防伪标签因其确定性的构筑模式在自身安全性上面临挑战。PUF标识本征的唯一性和不可预测性可作为商品的“指纹”秘钥,从根本上遏制标签自身被伪造的可能。为此,科学家利用金属薄膜去湿原理产生的随机分形金网络结构作为PUF,开发出一种由随机分形网络标识符和深度学习识别验证模型组成的新型PUF防伪系统,并展示该PUF的多层级防克隆能力。   借助高通量的图案化光刻(镂空模板)、薄膜沉积及一步热退火技术,可实现晶圆级PUF单元制作,体现了批量化、低成本(单个标签成本不到1美分)的生产特点。为了应用到实际防伪场景,研究人员开发了一种基于深度学习算法的图像PUF识别验证系统,借助ResNet50分类神经网络模型对37000个PUF标识符(10348)实现了可溯源、快速(6.36 s)、高精度(0%假阳性)验证,并提出了动态数据库策略,赋予深度学习模型极高的数据库扩容能力,理论上打破了庞大数据库的建立与低时间成本之间难以兼容的障碍。此外,这种PUF制作与微电子工艺流程高度兼容,有望与元器件同时集成并完成元件单元的真实性验证。PUF系统可初步满足工业化需求,有望推动商业化的PUF防伪技术的发展与普及。相关技术已申请国家发明专利并已获授权。   研究工作得到国家自然科学基金,国家重点研发计划“纳米科技”专项等的支持。该工作由国家纳米中心、北京航空航天大学和德国卡尔斯鲁厄理工学院合作完成。图1. PUF的制作流程及表图2. 深度学习识别验证系统的建立与性能展示
  • 可控生长InSb纳米低维结构及其高质量量子器件研究获进展
    窄带InSb半导体材料以高电子迁移率、大朗德g因子和强大的Rashba自旋轨道耦合特征而著称,成为自旋电子学、红外探测、热电以及复合半导体-超导器件中的新型量子比特和拓扑量子比特的材料候选者。   由InSb制成的低维纳米结构如纳米线或2D InSb纳米结构(或量子阱),也因丰富的量子现象、优异的可调控性而颇具潜力。然而,InSb量子阱由于大晶格常数,较难在绝缘基板上外延生长。解决这些问题的方法之一是自下而上独立生长出无缺陷的纳米结构。通过气-液-固(VLS)生长出的2D InSb纳米片结构具有非常高的晶体质量,显示出单晶或接近单晶的优异特性,而在以往研究中其生长过程几乎均是起源于单个催化剂种子颗粒,因而位置、产量和方向几乎没有控制。   荷兰埃因霍温理工大学与中国科学院物理研究所/北京凝聚态物理国家研究中心HX-Q02组特聘研究员沈洁等合作,开发出通过金属有机气相外延(MOVPE)在预定位置以预设数量(频率)和固定取向/排列生长2D InSb纳米结构的新方法(可控生长),并利用低温电输运测量其制备而成的量子器件,观察到不同晶体结构对应的特征结构。   在这一方法中,通过在基底上制备V型槽切口,并精确控制成对从倾斜且相对的{111}B面生长的纳米线进行合并来形成纳米片。纳米片状形态和晶体结构由两根纳米线的相对取向决定。TEM等分析表明,存在与不同晶界排列相关的三种不同的纳米片形态——无晶界(I型)、Σ3-晶界(II型)、Σ9-晶界(III型)。后续的器件制备和输运测量表明,I型、II型在输运上表现出良好的性质,有较好的量子霍尔效应,出现了量子化平台,也有较高的场效应迁移率。   与之相对,III型纳米线因特殊晶界的存在,出现了明显的迁移率降低和较差的量子霍尔行为,且在偏压谱中被观察到象征势垒的零偏压电导谷。这归因于Σ9晶界带来的势垒对输运性质的影响。   研究表明,通过这种方法制备的I型和II型纳米片表现出有潜力的输运特性,适用于各种量子器件。尤其是这种生长方案使得InSb纳米线与InSb纳米片一起生长,具有预定的位置和方向,并可创建复杂的阴影几何形状与纳米线网络形状。   这一旦与超导体的定向沉积相结合,便可用最少的制备步骤产生高质量InSb超导体复合量子器件,为拓扑量子比特和新型复合量子比特提供器件平台。此外,与通过分子束外延(MBE)生长的InSb纳米片相比,采用这一方法生长的InSb纳米片更薄,更有助于量子化现象的出现和增加可调控性。   2月8日,相关研究成果以Merging Nanowires and Formation Dynamics of Bottom-Up Grown InSb Nanoflakes为题,在线发表在Advanced Functional Materials上。研究工作得到国家自然科学基金、中科院战略性先导科技专项、北京市科技新星计划和综合极端条件实验装置的支持。图1.(a)InSb纳米线和纳米片基底的示意图。在InP(100)晶圆上制作v型槽切口(“沟槽”),暴露出(111)B面。金颗粒在InP(111)B切面预先确定的位置上进行曝光制备,InSb纳米线在其上生长。通过在相反的InP(111)B切面上沉积Au颗粒,InSb纳米线将合并,形成(e)纳米桥和(f)纳米片。图2.三种类型的InSb纳米片的晶体取向与最终形貌的关系图4.三种纳米片的低温电输运测量。(a-c)显示了两端电导作为背门电压Vbg和磁场B的函数,即朗道扇形图。插图中显示的是假彩色SEM图像。纳米薄片被Al电极(蓝色)接触,Σ3和Σ9晶界分别用黄色和红色虚线标记。(d-f)为(a-c)在4T、8T和11T处扇图的截线,显示量子化平台存在与否。(g-i)为三种类型纳米片低磁场下微分电导dI/dV与Vbias和Vbg的函数关系,可以看出(i)中存在与晶界对应的零偏压电导谷。(j)由三种不同类型的纳米片制成的8个器件的场效应迁移率,显示三类纳米线不同的迁移率。
  • 原位电镜观察双金属纳米粒子的结构形貌演变
    最近几年,随着基于贵金属(如Pt、Pd、Au等)的纳米催化剂被深入研究,人们开始把注意力转移到非贵金属催化剂(Fe、Co、Ni、Cu等)的可控合成和催化性质研究上。如果能够开发出替代贵金属的非贵金属催化剂,无论是从基础研究还是工业应用上来说都是非常有价值的。不过,从物理和化学性质来说,贵金属和非贵金属的区别还是非常大的。  考虑到金属催化材料一般是用来催化氧化还原反应,因此我们这里做一些简单的对比。对于贵金属来说,它们的纳米粒子一般来说性质比较稳定,经过还原后不太容易被氧化。即使在催化反应过程中,虽然位于表面的原子会发生价态的变化,但是对于纳米粒子的整体来说,这种价态的变化并不是那么的显著。相比之下,非贵金属的性质就更加难以控制和琢磨。对于Fe和Co来说,被还原后的金属纳米粒子非常不稳定,一旦接触空气就会被氧化。如果没有一些保护的配体或者载体,那么完全变成氧化物可能就是几秒钟的事。相对来说,Ni和Cu的金属态纳米粒子相对来说稳定一些。但是如果尺寸比较小(小于5 nm),也非常容易被空气氧化。在绝大部分加氢反应中,非贵金属的催化剂都需要经过一个预先的还原过程来进行活化。而我们在对催化剂进行表征的过程中,很多时候催化剂已经接触了空气,和实际反应条件下的样品有区别了。这种差异在非贵金属催化剂上体现的特别明显。图1. 通过Kirkendall效应,实心的Co纳米粒子被氧化形成空心的CoO结构。图片来源:Science  在氧化和还原的过程中,不仅仅是发生化学价态的变化,很多时候还会伴随着纳米粒子形貌的变化。十多年前,材料科学家们在制备Fe、Co纳米粒子的时候就发现这些实心的纳米粒子暴露空气后会逐渐被氧化,然后形成空心结构的CoO(Science, 2004, 304, 711)。这种现象可以用Kirkendall效应来解释。同时这也说明在化学态变化的同时,物质也在纳米尺度发生迁移。上述现象目前在非贵金属体系中比较普遍 而在贵金属体系则比较少见。考虑到在催化反应中,不光是催化剂的表面性质对反应性能影响很大,催化剂活性组分的几何结构也有至关重要的影响。因此,对于在氧化-还原过程中形貌会有显著变化的非贵金属催化剂,借助一些原位表征手段研究纳米粒子在氧化-还原过程中的结构演变就是很有意义的课题。  在2012年,来自美国Brookhaven国家实验室和Lawrence-Berkeley国家实验室的电镜科学家就借助环境透射电镜研究了CoOx纳米粒子被H2还原到金属Co纳米粒子的过程(ACS Nano, 2012, 6, 4241)。如图2所示,小颗粒的CoOx粒子在逐步还原的过程中会发生团聚,然后得到大颗粒的金属Co纳米粒子。图2. 通过原位电镜来观察CoOx还原到金属Co的过程。图片来源:ACS Nano  对于单组份的Co纳米粒子,情况可能还相对简单一些。对于双金属甚至更多组分的非贵金属纳米粒子,在氧化-还原条件下他们的结构演变就会变得更加复杂和有趣。最近,在2012年工作基础上,美国Brookhaven国家实验室的Huolin L. Xin博士和天津大学的杜希文教授等科学家用原位透射电镜研究了CoNi双金属纳米粒子在氧化的过程中形貌的变化(Nat. Commun., 2016, 7, 13335)。图3. CoNi合金纳米粒子逐渐被氧化为多孔的CoOx-NiOx结构。图片来源:Nat. Commun.  首先,作者考察了单个的CoNi合金纳米粒子在400 ℃下被氧化的过程。如图3a所示,实心的具有规则几何外形的纳米粒子是初始的材料。经过61秒后,在这个纳米粒子的棱角处可以观察到形貌的变化。随着时间的延长,可以明显的观察到表面形成了一层衬度较低一些的氧化层。经过了大概十分钟后,整个纳米粒子的形貌已经发生了显著的变化,说明Co和Ni在氧化的过程中不是静止的,而是在运动。再经过一段时间,实心的纳米粒子就会呈现一种核壳结构出现了氧化层和金属内核之间的明显界限。如果延长粒子在氧气气氛中的时间,金属态的内核会进一步的被氧化,直到变成一个具有多孔性质的氧化物结构(如图3b和图3c所示)。为了考察在氧化过程中Co和Ni两种元素的分布情况,作者对中间形成的结构进行了EELS elemental mapping。如图3所示,本来是充分混合的CoNi合金粒子经过氧化后,发生了部分的分离。在氧化后的粒子上,可以看到在表面形成了一个富含Co的薄层。在原文中,作者对这个氧化过程进行了三维的元素分析,确认了Co和Ni发生了空间上的部分分离。  为了解释在原位电镜实验中观察到的现象,作者对这个氧化过程进行了理论上的计算和分析。通过经典的固体物理和物理化学的理论,作者比较了Co和Ni的氧化趋势的强弱,发现Co更容易被氧化。同时,作者还考察了Co和Ni在氧化过程中的速率,发现Co具有更前的结合O的能力,也更容易在氧化的过程中发生迁移。这样结合起来就解释了在原位电镜实验中观察到了Co和Ni发生部分的分离的现象。  总的来说,这项工作发现了非贵金属纳米粒子中一些有趣的现象。而这些现象其实和催化过程都是有紧密的关系,可以帮助我们更好的理解非贵金属催化剂在氧化-还原条件下的一些行为。
  • 中国科大在生物质制备纳米结构材料方面取得系列进展
    近年来,中国科大合肥微尺度物质科学国家实验室俞书宏课题组在低温水热碳化生物质制备功能性碳基材料方面的研究取得显著进展,其中有关生物质水热碳化制备高活性富碳纳米功能材料的一系列工作引起国际关注。最近,该课题组应邀撰写观点透视综述论文,并以封面文章形式发表在Dalton Trans上,英国皇家化学会网站也进行了报道。 多功能碳基材料由于其在催化剂载体、固碳、吸附剂、储气、电极、碳燃料电池和药物传递等领域潜在的重要应用,使其合成技术研究成为一个热门课题。目前,该领域研究的重点已经从化石燃料转变到以生物质作为原料合成碳基材料,同时也有望为合理利用过剩的生物质,为储存碳能源和避免直接焚烧对环境的严重污染等提供新的解决方案。 该课题组研究发现,由非晶态纤维素组成软质的植物组织主要产生球状碳纳米颗粒,它们的尺寸很小,孔隙主要是间隙孔隙;由固定结构的晶态纤维素组成的硬质植物组织,能够保留外部形状以及大范围内宏观和微观结构特征,在纳米尺度上产生了显著的结构变化,形成介孔网状结构。同时,利用碳水化合物能够控制合成出具有特殊形态和结构的碳基纳米材料、多孔碳材料及复合材料,诸如纳米球、纳米纤维、亚纳米线、亚纳米管、纳米电缆和核壳结构等,而且富含能显著改善其亲水性和化学活性的官能团。所制备的碳基材料和复合材料具有优异的固碳效率、催化性质和电学性质,在固碳,色谱分离、催化剂载体和电极材料、气相选择吸附剂、药物传递等领域具有潜在的应用前景。 目前,该课题组正着力研究水热碳化过程机理和进一步提高碳化效率,为高效制备一系列多功能化、高活性碳基纳米结构材料及实际应用打下基础。
  • 金属所在高层错能金属中构筑超细纳米孪晶结构
    金属材料的强化是长期以来材料领域的核心研究方向。细晶强化(即Hall-Petch强化,包括晶界强化/孪晶界强化)是目前最常用且有效的强化手段之一,其内在机制是源于晶界/孪晶界对位错运动的阻碍。然而,当晶粒尺寸(d)和孪晶片层厚度(λ)达到某个临界尺寸(10-15nm)时,材料的主导变形机制将转变为晶界运动或退孪生,从而使其表现出Hall-Petch关系失效或软化效应(即材料强度随着d/λ的降低而不再增加甚至降低),成为了材料强度提升的瓶颈问题。  近期,金属所沈阳材料科学国家研究中心材料动力学研究部段峰辉特别研究助理(第一作者)、李毅研究员、潘杰副研究员和上海交通大学郭强教授合作,首次在高层错能金属Ni中实现了超细纳米孪晶结构的可控构筑,以及纳米孪晶Ni在10nm片层厚度以下持续强化。这一结果突破了人们对纳米晶金属材料在极小结构尺寸下发生软化的现有认知,为发展超高强度/硬度金属材料提供了可行途径。相关研究成果于6月30日发表在Science Advances杂志上。  纳米孪晶结构普遍存在于低层错能金属材料中,而在高层错能金属Ni(γsf=128mJ/m2)中引入高密度生长孪晶,特别是极小片层厚度的孪晶结构至今鲜有报道。研究人员采用直流电沉积技术,基于高沉积速率和镀层拉应力的协同作用,成功地在金属Ni中获得体积分数达100%的柱状纳米孪晶结构,实现了孪晶片层厚度从2.9 到81.0nm 的可控调节。我们的研究表明,λ10nm 时,纳米孪晶Ni的强度和硬度仍然随着片层厚度的减小而增加,表现出持续强化和硬化行为。最小片层厚度(λ=2.9nm)的纳米孪晶Ni表现出最高的屈服强度(~4.0GPa),约是目前报道的纳米晶Ni最高强度(~2.2GPa)的2倍。微合金化的纳米孪晶NiMo合金片层厚度甚至能够达到1.9nm 以及更高的强度4.4GPa.  纳米孪晶Ni的持续强化行为源于两个方面:软化机制被抑制,以及两种强化机制(强化模式I型位错和二次孪晶)的启动。前者主要归因于Ni具有较高层错能,致使不全位错在晶界的形核阻力较大,且不全位错倾向于束集成全位错,抑制了退孪生的发生。后者对位错的运动具有强烈的阻碍作用,提供了强化作用。另外,高密度孪晶界的形成过程可能会诱发晶界弛豫。弛豫态晶界具有更好的机械稳定性,发射不全位错的临界应力也更大。这种不全位错的发射会导致晶界进一步弛豫。而且高层错能金属的弛豫态晶界发射不全位错的临界应力更高。  该研究得到中国科学院金属研究所,沈阳材料科学国家研究中心,中国科学院青年创新促进会和国家自然科学基金(优秀青年基金和面上项目)等项目资助。图1 λ=2.9nm的纳米孪晶Ni的微观结构。(A)典型的三维结构,包括平面图和截面图。(B)和(C)分别为孪晶片层厚度和柱状晶粒宽度的分布图。(D)高倍TEM截面图像。(E)高分辨TEM图,插图为相应的选取电子衍射花样。(F)XRD曲线,表现为强烈的(111)织构。图2 纳米孪晶Ni的持续强化行为。纳米孪晶Ni的强度随孪晶片层厚度的变化关系。作为对比,图中不仅包含了文献中不同晶粒尺寸或孪晶片层厚度纯Ni强度值,还包含了纳米孪晶铜的强度随孪晶片层厚度的变化关系。这些强度值都是通过单轴拉伸和压缩实验获得的。可以清楚的看到,在片层厚度小于10-20nm时,纳米孪晶Ni表现出持续强化现象,而纳米孪晶铜表现出软化行为。
  • 为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?
    为什么Microlight3D双光子聚合激光直写技术能实现67nm超高分辨率3D打印?Microlight3D是一家生产用于工业和科学应用的高分辨率微尺度2D和3D打印系统的专业制造商。MicroFAB-3D光刻机是该公司于2019年推出的第一台紧凑台式双光子聚合系统,一经推出便得到客户的广泛好评。 MicroFAB-3D基于双光子聚合激光直写技术,可在各种光敏材料上制造出蕞小尺寸可达67nm的二维和三维特征结构,兼容各种聚合物,包括生物兼容性材料、医用树脂和生物材料,为微流控、微光学、细胞培养、微机器人或人造材料领域开辟了新的前景。双光子聚合激光直写,也称双光子3D打印,基于“双光子吸收效应”, 可以将反应区域限制在焦点附近极小的位置(称之为“体元”),通过纳米级精密移动台,使得该焦点在物质内移动,焦点经过的位置,光敏物质发生变性、固化,因此可以打印任意形状的3D物体。双光子聚合激光直写技术摒弃了传统增材制造(Additive Manufacturing)层层叠加的方法,使得层与层之间的精度大大提高,消除了“台阶效应”,使得我们可以制造低粗糙度、高精度的器件,如各种光学元件、维纳尺度的结构器件等。基于双光子聚合激光直写技术的microFAB-3D完全适用于超高分辨率3D打印,结合合适的光敏材料,“体元”直径可小至67nm,有时甚至可以更小。结合我们专有的软件,microFAB-3D激光可以在材料内部自由移动,创造出一个坚固的结构。 激光甚至可以穿过聚合的部件,因此“体元”可以在单体内部的三维空间中自由移动,可以高精度地创建任何3D形状,例如没有支撑的悬垂物、内部的体块、中空通道结构等等。 由于光敏材料、激光波长和所用的物镜直接影响打印的分辨率,所以我们的532 nm波长确保了低于67nm的超高3D打印分辨率,我们的用户已经实现了在3D结构中小于100nm的横向分辨率!Microlight3D双光子聚合3D纳米光刻机∣主要特征:1、高分辨率3D打印得益于双光子聚合激光直写技术,无论是基础版本还是先进版本,都可以实现至少67nm的刻写分辨率,最高记录67nm 。 2、打印最复杂的结构与传统的3D打印技术不同,双光子聚合激光直写技术摆脱了传统的“一层一层”的光刻方法。可以打印非常复杂的结构而不需要特殊材料支持或后续处理,增强了材料的机械性能。 3、分辨率自动调节我们的软件可以让您在制造过程中可以随时调节打印分辨率。用大“体元”得到更快的打印速度,用小“体元”实现更复杂、更精密的结构。 4、高精度自动定位microFAB-3D先进版本配备了反馈相机和专用软件功能,使您能够在已经有图案的基板甚至光纤的尖端上直接对齐和打印。您可以轻松和精细地调整聚焦点的位置,精度小于1µm。 5、独特的技术、更高的性能创新的纳米3D打印系统依赖于具有独特特点的工业激光器,带来最高的打印分辨率、紧凑性、成本效率和使用灵活性。除此之外,这些工业激光器完全支持长时间运行而无需定期的维护,提供了更好的可靠性与稳定性。 6、从基础版本升级到先进版本MicroFAB-3D可以根据您的需求和预算轻松地升级。您可以使用MicroFAB-3D标准版本探索高分辨率的3D打印,之后升级为MicroFAB-3D高级版本以实现大范围的复制、Voronoi结构光刻等附加功能。Microlight3D双光子聚合3D纳米光刻机∣兼容材料:我们为我们的双光子聚合激光直写3D纳米光刻机提供了10种专利光刻胶,这些树脂的各种性能允许您探索多种应用领域。我们的系统也与各种商业上可用的光刻胶兼容,如Ormocomp, SU8, FormLabs树脂,NOA-line树脂,甚至水凝胶或蛋白质等。这些光刻胶可能是生物兼容的,有的已被认证实现微型医疗设备。如果您想使用定制的、自制的聚合物,我们也可以帮助您调整系统以适应您的工艺。Microlight3D双光子聚合3D纳米光刻机∣应用领域: 微光学和光子学 微流控 2D材料 微型医疗设备 细胞培养与组织工程 微电子学 微机械 光电子 金属材料 传感器 天线 微型机器人Microlight3D双光子聚合3D纳米光刻机∣规格指标:关于生产厂商Microlight3D:Microlight3D是高分辨率微尺度2D和3D打印系统的专业制造商。Microlight3D致力于满足科学家和工业研究人员新的设计加工需求,以及高精度生产任何几何或非几何形状的微型零件。通过结合2D和3D微纳打印技术,Microlight3D为客户提供了制造更大尺寸复杂部件的灵活性。它的目标是为未来的新兴领域提供更快、更复杂的微型制造系统。Microlight3D的设备现用于微光学、微流体、微机器人、超材料、细胞生物学和微电子学等。 Microlight3D在2016年成立于法国格勒诺布尔,在Grenoble Alpes大学(UGA)进行了超过15年的3D微纳打印技术研发。 上海昊量光电作为Microlight3D在中国大陆地区代理商,为您提供专业的选型以及技术服务。对于Microlight3D有兴趣或者任何问题,都欢迎通过电话、电子邮件或者微信与我们联系。关于昊量光电昊量光电 您的光电超市! 上海昊量光电设备有限公司致 力于引进国 外先 进性与创 新性的光电技术与可 靠产品!与来自美国、欧洲、日本等众多知 名光电产品制造商建立了紧 密的合作关系。代理品牌均处于相关领域的发展前 沿,产品包括各类激光器、光电调制器、光学测量设备、精密光学元件等,所涉足的领域涵盖了材料加工、光通讯、生物医疗、科学研究、国 防及前沿的细分市场比如为量 子光学、生物显微、物联传感、精密加工、先进激光制造等。 我们的技术支持团队可以为国内前沿科研与工业领域提 供完 整的设备安装,培训,硬件开发,软件开发,系统集成等优 质服务,助力中国智 造与中国创 造! 为客户提 供适合的产品和提 供完 善的服务是我们始终秉承的理念!
  • 点赞 | 实现性能调控的纳米尺度结构设计
    p  在物理与材料研究领域中,众多问题的解决受限于样品质量、尺寸、探测极限等因素制约而搁置,而这些问题是可以通过电子显微学方法来实现突破。近年发展起来的球差矫正等先进电子显微学方法,为在纳米乃至原子尺度对众多物理量及其耦合关系的测量与表征提供了可能,也为实现性能调控的纳米尺度结构设计提供了依据。/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201904/uepic/a8bbe64e-d38a-46f2-b984-3ba9190a2d19.jpg" title="1.jpg" alt="1.jpg" width="450" height="325" border="0" vspace="0" style="width: 450px height: 325px "//pp style="text-align: center "span style="color: rgb(0, 176, 240) "田鹤老师科研工作照/span/pp  众所周知,大多数材料在温度变化时呈现热胀冷缩的性质,而有一类特殊的材料因其在温度变化时体积基本保持不变,被称为零膨胀材料。一直以来,零膨胀材料因其在高精度仪器、极端条件元器件等方面极具应用价值而备受关注。然而,目前发现的零膨胀材料仍非常稀少,设计制备宽服役温度范围、低膨胀系数的零膨胀材料是该领域的核心目标。/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201904/uepic/84763b66-77b5-492c-be61-1be8b29b18d9.jpg" title="2.jpg" alt="2.jpg" width="600" height="281" border="0" vspace="0" style="width: 600px height: 281px "//pp style="text-align: center "span style="color: rgb(0, 176, 240) "研究图a/span/pp  针对这一问题,张泽院士带领下的田鹤团队进行了系统的原位实验及微结构研究,表明铁电材料中,封闭介孔内存在着正负铁电极化表面,这些表面分别由氧离子、氧空位的聚集而被屏蔽。这一特殊的自发铁电极化屏蔽机制使得介孔微区附近的铁电性消失,从而显示出正膨胀性能。这一特性与钛酸铅本征的负膨胀性质相协同,从而使单晶介孔钛酸铅纤维表现出零膨胀的特性。成功将大量纳米尺度的封闭介孔引入到单晶钙钛矿钛酸铅中,这有效地调制了热膨胀性能,其晶胞体积在极宽的温度范围内基本保持不变。这一研究揭示了铁电体内部表面微结构的构建及其铁电极化屏蔽机制对材料热膨胀性能起到了显著调控作用,为设计、制备性能优异的新一类单相零膨胀材料提供了新思路。/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201904/uepic/45816567-b796-4776-9c9f-f02335703bfd.jpg" title="3.jpg" alt="3.jpg" width="600" height="455" border="0" vspace="0" style="width: 600px height: 455px "//pp style="text-align: center "span style="color: rgb(0, 176, 240) "研究图b/span/pp  另一方面,由于尺寸、表面和界面效应以及量子效应等因素,材料中的有序结构,如铁磁有序、铁电有序等,通常在极限尺寸下被显著抑制。由于长程有序的尺寸限制,到目前为止,在室温下实现具有垂直于表面极化的原子厚度铁电薄膜仍然是一个艰巨的挑战,严重制约了高密度非易失性存储器件的发展与小型化。针对这一问题,我们团队利用球差矫正电子显微镜,在一个单位晶胞厚的BiFeO3薄膜中直接观察到了面外的强自发极化,并且实现了高达370% 的隧道电流变效应。这一发现证实了BiFeO3薄膜中的铁电临界厚度可以通过结构设计以实现突破,这对于高密度数据存储显示出巨大的应用前景,将为铁电基器件的小型化突破开辟可能性。/pp  借助先进电子显微学方法,在纳米乃至原子尺度对众多物理量及其耦合关系进行研究的能力,可以为探索材料性能与微结构关系提供依据,为设计、优化功能性材料特性,实现纳米尺度结构设计调控宏观性能提供新的途径。/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201904/uepic/59832007-ec42-4212-85c3-242933457bcf.jpg" title="4.jpg" alt="4.jpg" width="600" height="275" border="0" vspace="0" style="width: 600px height: 275px "//pp  在此工作基础上,田鹤负责的“实现性能调控的纳米尺度结构设计”成功入围浙江大学“2018年度十大学术进展评选”活动。以下为该项目具体情况:/pp  strong项目名称/strong:实现性能调控的纳米尺度结构设计/pp  strong申报单位/strong:材料科学与工程学院/pp  strong负责人/strong:田鹤/pp  strong项目简介/strong/pp  在过渡族金属氧化物这类强关联电子体系中,电子表现出的不仅是电荷,还有自旋、轨道这些复杂的属性,相互耦合诞生了如高温超导、庞磁电阻、多铁性等诸多具有重要应用前景的特性。但对电荷、轨道、自旋间的耦合关系,及其有序性与晶格的耦合、相互作用理解的依然不足,制约了对此类功能性材料性能有效调控的探索。/pp  项目的主要特色是摆脱性能测试宏观、平均的限制,在纳米乃至原子尺度通过对各物理量间耦合关系的研究,直接构建微观结构对宏观性能的影响。通过纳米尺度结构设计,探索调控宏观性能的途径,为设计新型的功能性材料与器件提供了新的机遇。证实了针对性纳米尺度结构设计,对宏观性能的有效调控。成功研制了一种具有宽温度服役范围(低温、室温与高温区)的单相零膨胀系数材料,为航天、航空等领域,精密载荷关键部件的高精度、高稳定性需求提供了新的解决方案 在常温下实现了具有原子级别厚度,面外铁电极化的高密度纳米器件,打破了铁电薄膜临界厚度的认知。/pp  strong项目团队/strong/pp  张泽院士领导的田鹤团队利用自主发展的电子显微学方法,在纳米乃至原子尺度对各物理量间耦合关系开展研究,有针对性的探知耦合本质与性能的依存关系,并探索性能调控的途径。揭示了在铁电材料内部,引入纳米尺度极化表面,对单相铁电材料宏观热膨胀行为调控的物理机制。与浙江大学韩高荣、任召辉团队合作,设计并制备出一种PbTiO3单相铁电介孔零膨胀系数材料 创新提出了一种调制铁电材料热膨胀系数的新途径,为设计、制备性能优异的单相零膨胀材料提供了新思路。(Nature Communications, 9 (2018) 1638 )进而,发现了晶格调控可突破极限尺寸对铁电极化的抑制作用。与新加坡国立大学陈景生团队合作,实现了四方相BiFeO3薄膜在室温二维极限尺度下的铁电序 证实了极限尺度下(一个单胞厚)的BiFeO3薄膜,所具有的超强铁电性与自发的面外极化 揭示了铁电极化产生、稳定和转化的物理机制 奠定了其作为高密度非易失性存储器的科学基础。(Nature communications 9 (2018) 3319)/p
  • 过程工程所开发出邻域纳米结构生物传感膜
    葡萄糖检测和实时连续监测,对于糖尿病等疾病的诊断和预防以及制糖和发酵过程中的可控生产至关重要。在这一过程中,以葡萄糖氧化酶(Gox)、普鲁士蓝(PB)、电极为核心的葡萄糖生物传感设备颇具前景。近日,中国科学院过程工程研究所生化工程国家重点实验室开发出具有邻域纳米结构的新型三维(3D)介孔生物传感膜,提高了葡萄糖生物传感设备中传感区域面积、PB利用率以及底物对传感区域可及性,具有优异的灵敏度和长期稳定性。相关研究成果发表在《先进功能材料》(Advanced Functional Materials)上。   由于PB形成速率快且极易团聚,使其在电极上的合成和分布难以控制,导致PB高密度无序堆积,形成传感区域面积小、PB利用率低且空间位阻大的逐层分布传感结构,传感灵敏度低且稳定性差。   针对上述问题,万印华团队以单宁酸-3-氨丙基三乙氧基硅烷-铁(TA-APTES-Fe)三元涂层作为结构导向剂,调控PB的固定化位置和组装速率,分别通过配位和共价作用将PB和GOx相邻固定在3D介孔碳纳米管(CNTs)膜电极中,制备出具有邻域纳米结构的介孔生物传感膜。与逐层纵向分布的生物传感器相比,新型传感膜将传感区域从2D平面扩展到3D介孔膜电极中,从而提高了PB的利用率以及葡萄糖和过氧化氢(H2O2)对传感区域的可及性。同时,这一结构拉近了级联传感单元间的距离,从而缩短H2O2到达传感界面的扩散距离,有效抑制H2O2向主体溶液中的扩散,降低其无效耗散。实验数据表明,在流通模式下,新型传感膜的灵敏度高达31.2 μA mM-1,可稳定连续监测蔗汁中的葡萄糖浓度长达8小时无电流响应漂移。   针对生物传感器污染问题,该团队基于PB的pH响应多酶活性,提出了利用GOx-PB级联反应依次产生微气泡和芬顿氧化来模拟“疏松-降解”膜清洁过程。原位产生的微气泡带来的剪切作用有助于疏松膜表面污染层,进而增加自由基对污染物的可及性,从而实现被污染的生物传感膜的自清洁。   研究工作得到国家重点研发计划和国家自然科学基金的支持。图1.受细胞膜上电子传递链结构启发,开发具有邻域纳米结构的三维介孔生物传感膜示意图。图2.生物传感膜“疏松-降解自清洁机制”示意图。
  • 《光学》:无标记染料或标签 解析光衍射极限纳米结构
    来自奥地利格拉茨大学的研究人员近日开发了一种新的测量和成像方法,可在不需要任何染料或标签的情况下解析小于光衍射极限的纳米结构。这种激光扫描显微镜新方法弥补了传统显微镜和超分辨率技术之间的差距,有朝一日或可被用来观察复杂样品的精细特征。  在国际光学出版集团的高影响力期刊《光学》上描述的这种新方法,是对激光扫描显微镜的改进,它使用强聚焦激光束照射标本。研究人员扩展了这项技术,不仅可以测量光与被研究标本相互作用后的亮度或强度,还可以检测光场中编码的其他参数。  “我们的方法可帮助扩展用于研究各种样品中纳米结构的显微工具箱。”研究小组组长彼得班泽说,“与基于类似扫描方法的超分辨率技术相比,我们的方法是完全非侵入性的,这意味着它不需要在成像前向标本中注入任何荧光分子。”  研究表明,新方法可测量金纳米颗粒的位置和大小,精度为几纳米,即使在多个颗粒接触的情况下也可做到。  在激光扫描显微镜中,光束在样品上扫描,并测量来自样品的透射光、反射光或散射光。大多数显微方法测量来自样品的光强度或亮度,但大量信息存储在光的其他特性中,例如它的相位、偏振和散射角。为了捕捉这些额外信息,研究人员检查了强度和偏振信息的空间分辨率。  研究人员表示,光的相位、偏振和强度,在空间上都会发生变化,这种变化方式包含了与之相互作用的样品细节,然而,如果只在相互作用后测量总体光功率,那么大部分信息都会被忽略。  研究人员研究了含有不同大小的金属纳米颗粒的简单样品,通过扫描感兴趣的区域,然后记录传输光的偏振和角度分辨图像展示了这种新方法。他们使用一种算法对测量数据进行评估,该算法创建了一个粒子模型,模型可自动调整,以尽可能精确地模拟测量数据。  班泽说,尽管这些颗粒及其距离比许多显微镜的分辨率极限要小得多,但新方法能够解决这一问题。更重要的是,该算法能够提供有关标本的其他参数,如颗粒的精确大小和位置。
  • 亚纳米皮米激光干涉位移测量技术与仪器
    1 引 言激光干涉位移测量技术具有大量程、高分辨力、非接触式及可溯源性等优势,广泛应用于精密计量、微电子集成装备和大科学装置等领域,成为超精密位移测量领域中的重要技术之一。近年来,随着这些领域的迅猛发展,对激光干涉测量技术提出了新的测量需求。如在基于长度等量子化参量的质量基准溯源方案中,要想实现1×10−8 量级的溯源要求,需要激光干涉仪长度测量精度达0. 1 nm 量级;在集成电路制造方面,激光干涉仪承担光刻机中掩模台、工件台空间位置的高速、超精密测量任务,按照“ 摩尔定律”发展规律,近些年要想实现1 nm 节点光刻技术,需要超精密测量动态精度达0. 1 nm,达到原子尺度。为此,国际上以顶级的计量机构为代表的单位均部署了诸如NNI、Nanotrace 等工程,开展了“纳米”尺度测量仪器的研制工程,并制定了测量确定度在10 pm 以下的激光干涉测量技术的研发战略。着眼于国际形势,我国同样根据先进光刻机等高端备、先进计量的测量需求,制定了诸多纳米计量技术的研发要。可见,超精密位移测量技术的发展对推进我国众多大高端装备具有重要战略意义,是目前纳米度下测量领域逐步发展的重大研究方向。2 激光干涉测量原理根据光波的传播和叠加原理,满足相干条件的光波能够在空间中出现干涉现象。在激光干涉测量中,由于测量目标运动,将产生多普勒- 菲佐(Doppler-Fizeau效应,干涉条纹将随时间呈周期性变化,称为拍频现象。移/相移信息与测量目标的运动速度/位移关系满足fd = 2nv/ λ , (1)φd = 2nL/ λ , (2)式中:fd为多普勒频移;φd为多普勒相移;n 为空气折射率;v 和L 为运动速度和位移;λ 为激光波长。通过对干涉信号的频率/相位进行解算即可间接获得测量目标运动过程中速度/位信息。典型的干涉测量系统可按照激光光源类型分为单频(零差式)激光干涉仪和双频(外差式)激光干涉仪两大类。零差式激光干涉测量基本原理如图1 所示,其结构与Michelson 干涉仪相仿,参考光与测量光合光干涉后,经过QPD 输出一对相互正交的信号,为Icos = A cos (2πfd t + φ0 + φd ) , (3)Isin = A sin (2πfd t + φ0 + φd ) , (4)式中:(Icos, Isin)为QPD 输出的正交信号;A 为信号幅值;φ0 为初始相位。结合后续的信号处理单元即可构成完整、可辨向的测量系统。图1 零差激光干涉测量原理外差式激光干涉仪的光源是偏振态相互垂直且具有一定频差Δf 的双频激光,其典型的干涉仪结构如图2 所示。双频激光经过NPBS 后,反射光通过偏振片发生干涉,形成参考信号Ir;透射光经过PBS,光束中两个垂直偏振态相互分开,f2 光经过固定的参考镜反射,f1 光经运动的测量镜反射并附加多普勒频移fd,与反射光合光干涉后形成测量信号Im。Ir = Ar cos (2πΔft + φr ) , (5)Im = Am cos (2πΔft + φm ), (6)式中:Δf、A 和φ 分别为双频激光频差、信号幅值和初始相位差。结合式(5)和式(6),可解算出测量目标的相位信息。图2 外差激光干涉测量原理零差式激光干涉仪常用于分辨力高、速度相对低并且轴数少的应用中。外差式激光干涉仪具有更强的抗电子噪声能力,易于实现对多个目标运动位移的多轴同步测量,适用于兼容高分辨力、高速及多轴同步测量场合,是目前主流的干涉结构之一。3 激光干涉测量关键技术在超精密激光干涉仪中,波长是测量基准,尤其在米量级的大测程中,要实现亚纳米测量,波长准确度对测量精度起到决定性作用。其中,稳频技术直接影响了激光波长的准确度,决定激光干涉仪的精度上限;环境因素的变化将影响激光的真实波长,间接降低了实际的测量精度。干涉镜组结构决定光束传播过程中的偏振态、方向性等参数,影响干涉信号质量。此外,干涉信号相位细分技术决定激光干涉仪的测量分辨力,并限制了激光干涉仪的最大测量速度。3. 1 高精度稳频技术在自由运转的状态下,激光器的频率准确度通常只有±1. 5×10−6,无法满足超精密测量中10−8~10−7的频率准确度要求。利用传统的热稳频技术(单纵模激光器的兰姆凹陷稳频方法等),可以提高频率准确度,但系统中稳频控制点常偏离光功率平衡点,输出光频率准确度仅能达2×10−7量级,无法完全满足超精密测量的精度需求。目前,超精密干涉测量中采用的高精度稳频技术主要有热稳频、饱和吸收及偏频锁定3 种。由于激光管谐振腔的热膨胀特性,腔长随温度变化呈近似线性变化。因此,热稳频方法通过对谐振腔进行温度控制实现对激光频率的闭环调节。具体过程为:选定稳定的参考频标(双纵模激光器的光功率平衡点、纵向塞曼激光器频差曲线的峰/谷值点),当激光频率偏离参考频标时,产生的频差信号用于驱动加热膜等执行机构进行激光管谐振腔腔长调节。热稳频方法能够使激光器的输出频率的准确度在10−9~10−8 量级,但原子跃迁的中心频率随时间推移受腔内气体气压、放电条件及激光管老化的影响会发生温度漂移。利用稳频控制点修正方法,通过对左右旋圆偏振光进行精确偏振分光和对称功率检测来抑制稳频控制点偏移的随机扰动,同时补偿其相对稳定偏置分量。该方法显著改善了激光频率的长期漂移现象,阿伦方差频率稳定度为1. 9×10−10,漂移量可减小至(1~2)×10−8。稳频点修正后的激光波长仍存在较大的短期抖动,主要源于激光器对环境温度的敏感性,温差对频率稳定性的影响大。自然散热型激光器和强耦合水冷散热型激光器均存在散热效果不均匀和散热程度不稳定的问题。多层弱耦合水冷散热结构为激光管提供一个相对稳定的稳频环境,既能抑制外界环境温度变化对激光管产生的扰动,冷却水自身的弱耦合特性又不影响激光管性能,进而减小了温度梯度和热应力,提高了激光器对环境温度的抗干扰能力,减少了输出激光频率的短期噪声,波长的相对频率稳定度约为1×10−9 h−1。碘分子饱和吸收稳频法将激光器的振荡频率锁定在外界的参考频率上,碘分子饱和吸收室内处于低压状态下(1~10 Pa)的碘分子气体在特定频率点附近存在频率稳定的吸收峰,将其作为稳频基准后准确度可达2. 5×10−11。但由于谐振腔损耗过大,稳频激光输出功率难以超过100 μW 且存在MHz 量级的调制频率,与运动目标测量过程中产生的多普勒频移相近。因此,饱和吸收法难以适用于多轴、动态的测量场合。偏频锁定技术是另一种高精度的热稳频方法,其原理如图3 所示,通过实时测量待稳频激光器出射光与高精度碘稳频激光频差,获得反馈控制量,从而对待稳频激光器谐振腔进行不同程度加热,实现高精度稳频。在水冷系统提供的稳频环境下,偏频锁定激光器的出射光相对频率准确度优于2. 3×10−11。图3 偏频锁定热稳频原理3. 2 高精度干涉镜组周期非线性误差是激光干涉仪中特有的内在原理性误差,随位移变化呈周期性变化,每经过半波长,将会出现一次最大值。误差大小取决光束质量,而干涉镜组是决定光束质量的主导因素。传统的周期非线性误差可以归结为零差干涉仪的三差问题和外差干涉仪的双频混叠问题,产生的非线性误差机理如图4 所示,其中Ix、Iy分别表示正交信号的归一化强度。其中,GR为虚反射,MMS 为主信号,PISn 为第n 个寄生干涉信号,DFSn 为第n 阶虚反射信号。二者表现形式不完全相同,但都会对测量结果产生数纳米至数十纳米的测量误差。可见,在面向亚纳米、皮米级的干涉测量技术中,周期非线性误差难以避免。图4 零差与外差干涉仪中的周期非线性误差机理。(a)传统三差问题与多阶虚反射李萨如图;(b)多阶虚反射与双频混叠频谱分布Heydemann 椭圆拟合法是抑制零差干涉仪中非线性误差的有效方法。该方法基于最小二乘拟合,获得关于干涉直流偏置、交流幅值以及相位偏移的线性方程组,从而对信号进行修正。在此基础上,Köning等提出一种基于测量信号和拟合信号最小几何距离的椭圆拟合方法,该方法能提供未知模型参数的局部最佳线性无偏估计量,通过Monte Carlo 随机模拟后,其非线性幅值的理论值约为22 pm。在外差干涉仪中,双频混叠本质上是源于共光路结构中双频激光光源和偏振器件分光的不理想性,称为第1 类周期非线性。对于此类周期非线性误差,补偿方法主要可以从光路系统和信号处理算法两个方面入手。前者通过优化光路可以将非线性误差补偿至数纳米水平;后者通过椭圆拟合法提取椭圆特征参数,可以将外差干涉仪中周期非线性误差补偿至亚纳米量级;两种均属补偿法,方法较为复杂,误差难以抑制到0. 1 nm 以下。另一种基于空间分离式外差干涉结构的光学非线性误差抑制技术采用独立的参考光路和测量光路,非共光路使两路光在干涉前保持独立传播,从根本上避免了外差干涉仪中频率混叠的问题,系统残余的非线性误差约为数十皮米。空间分离式干涉结构能够消除频率混叠引起的第1 类周期非线性误差,但在测量结果中仍残余亚纳米量级的非线性误差,这种有别于频率混叠的残余误差即为多阶多普勒虚反射现象,也称为第2 类周期非线性误差。虚反射现象源自光学镜面的不理想分光、反射等因素,如图5所示,其中MB 为主光束,GR 为反射光束,虚反射现象普遍存在于绝大多数干涉仪结构中。虚反射效应将会使零差干涉仪中李萨如图的椭圆产生畸变,而在外差干涉仪中则出现明显高于双频混叠的高阶误差分量。图5 多阶虚反射现象使用降低反射率的方法,如镀增透膜、设计多层增透膜等,能够弱化虚反射现象,将周期非线性降低至亚纳米水平;德国联邦物理技术研究院Weichert等通过调节虚反射光束与测量光束间的失配角,利用透镜加入空间滤波的方法将周期非线性误差降低至±10 pm。上述方法在抑制单次的虚反射现象时有着良好的效果,但在面对多阶虚反射效应时作用有限。哈尔滨工业大学王越提出一种适用于多阶虚反射的周期非线性误差抑制方法,该方法利用遗传算法优化关键虚反射面空间姿态,精准规划虚反射光束轨迹,可以将周期非线性误差抑制到数皮米量级,突破了该领域10 pm 的周期非线性误差极限。3. 3 高速高分辨力相位细分技术在激光干涉仪中,相位细分技术直接决定系统的测量精度。实现亚纳米、皮米测量的关键离不开高精度的相位细分技术。相位的解算可以从时域和频域两个角度进行。最为常用的时域解算方法是基于脉冲边缘触发的相位测量方法,该方法利用高频脉冲信号对测量信号与参考信号进行周期计数,进而获取两路信号的相位差。该方法的测量速度与测量分辨力模型可表达为vm/dLm= Bm , (7)式中:vm 为测量速度;dLm 为测量分辨力;Bm 为系统带宽。在系统带宽恒定的情况下,高测速与高分辨力之间存在相互制约关系。只有提高系统带宽才能实现测量速度和测量分辨力的同时提升,也因此极度依赖硬件运行能力。在测量速度方面,外差激光干涉仪的测量速度主要受限于双频激光频差Δf,测量目标运动产生的多普勒频移需满足fd≤Δf。目前,美国的Zygo 公司和哈尔滨工业大学利用双声光移频方案所研制的结构的频差可达20 MHz,理论的测量速度优于5 m/s。该方法通过增加双频激光频差来间接提升测量速度,频差连续可调,适用于不同测量速度的应用场合,最大频差通常可达几十MHz,满足目前多数测量速度需求。从干涉结构出发,刁晓飞提出一种双向多普勒频移干涉测量方法,采用全对称的光路结构,如图6所示,获得两路多普勒频移方向相反的干涉信号,并根据目标运动方向选择性地采用不同干涉信号,保证始终采用正向多普勒频移进行相位/位移解算。该方法从原理上克服了双频激光频差对测量速度的限制,其最大测量速度主要受限于光电探测器带宽与模/数转换器的采样频率。图6 全对称光路结构在提升测量分辨力方面,Yan 等提出一种基于电光调制的相位调制方法,对频率为500 Hz 的信号进行周期计数,该方法实现的相位测量标准差约为0. 005°,具有10 pm 内的超高位移测量分辨力,适用于低速测量场合。对于高速信号,基于脉冲边缘触发的相位测量方法受限于硬件带宽,高频脉冲频率极限在500 MHz 左右,其测量分辨力极限约为1~10 nm,难以突破亚纳米水平。利用高速芯片,可以将处理带宽提升至10 GHz,从而实现亚纳米的测量分辨力,但成本较大。闫磊提出一种数字延时细分超精细相位测量技术,在硬件性能相同、采样频率不变的情况下,该方法利用8 阶数字延迟线,实现了相位的1024 电子细分,具有0. 31 nm 的位移测量分辨力,实现了亚纳米测量水平。该方法的等效脉冲频率约为5 GHz,接近硬件处理极限,但其测量速度与测量分辨力之间依旧存在式(7)的制约关系。德国联邦物理技术研究院的Köchert 等提出了一种双正交锁相放大相位测量方法,如图7所示,FPGA 内部生成的理想正交信号分别与外部测量信号、参考信号混频,获取相位差。利用该方法,可以实现10 pm 以内的静态测量偏差。双正交锁相放大法能够处理正弦模拟信号,充分利用了信号的频率与幅值信息,其测量速度与测量分辨力计算公式为vm/0. 1λ0= Bm , (8)dLm/0. 5λ0=Bs/dLc, (9)式中:Bs为采样带宽;dLc为解算分辨力。图7 双正交锁相方法测量原理可见,测量速度与测量分辨力相互独立,从原理上解决了高测速与高分辨力相互制约的矛盾,为激光干涉仪提供了一种兼顾高速和高分辨力的相位处理方法。在此基础上,为了适应现代工业中系统化和集成化的测量需求,美国Keysight 公司、Zygo 公司及哈尔滨工业大学相继研发出了光电探测与信号处理一体化板卡,能够实现高于5 m/s 的测量速度以及0. 31 nm 甚至0. 077 nm 的测量分辨力。此外,从变换域方面同样可以实现高精度的相位解算。张紫杨等提出了一种基于小波变换的相位细分方法,通过小波变换提取信号的瞬时频率,计算频率变化的细分时间,实现高精度的位移测量,该方法的理论相位细分数可达1024,等效位移精度约为0. 63 nm。Strube 等利用频谱分析法,从信号离散傅里叶变换(DFT)后的相位谱中获取测量目标的位移,实现了0. 3 nm 的位移测量分辨力。由于采用图像传感器为光电转换器,信号处理是以干涉条纹为基础的,适用于静态、准静态的低速测量场合。3. 4环境补偿与控制技术环境中温度、气压及湿度等变化会引起空气折射率变化,使得激光在空气中传播时波长变动,导致测量结果产生纳米量级的误差。环境误差补偿与控制技术是抑制空气折射率误差的两种重要手段。补偿法是修正空气折射率误差最常用的方法,具有极高的环境容忍度。采用折光仪原理、双波长法等可以实现10−7~10−8 量级的空气折射率相对测量不确定度。根据Edlen 经验公式,通过精确测定环境参数(温度、湿度和大气压等),可以计算出空气折射率的精确值,用于补偿位移测量结果,其中温度是影响补偿精度的最主要因素。采用高精度铂电阻传感器,设备可以实现1 mK 的温度测量精度,其折射率的补偿精度可达10−8量级,接近Edlen 公式的补偿极限。环境控制技术是保证干涉仪亚纳米测量精度的另一种有效方法。在现行的DUV 光刻机中,采用气浴法,建立3 mK/5 min 以内恒温、10 Pa/5 min 以内恒压、恒湿气浴场,该环境中能够实现10−9~10−8 量级空气折射率的不确定度。对于深空引力波探测、下一代质量基准溯源等应用场合,对激光干涉仪工作的环境控制要求更为严苛,测量装置需置于真空环境中,此时,空气折射率引入的测量误差将被彻底消除。4 激光干涉测量技术发展趋势近年来,超精密位移测量的精度需求逐渐从纳米量级向亚纳米甚至皮米量级过渡。国内在激光干涉仪中的激光稳频、周期非线性误差消除和信号处理等关键技术上均取得了重大的突破。在LISA 团队规划的空间引力波探测方案中,要求在500 万千米的距离上,激光干涉仪对相对位移量需要具有10 pm 以内的分辨能力。面对更严苛的测量需求,超精密位移测量依然严峻面临挑战。激光干涉测量技术的未来发展趋势可以归结如下。1)激光波长存在的长期漂移和短期抖动是限制测量精度提升的根本原因。高精度稳频技术对激光波长不确定度的提升极限约为10−9量级。继续提升激光波长稳定度仍需要依托于下一阶段的工业基础,改善激光管本身的物理特性,优化光源质量。2)纳米级原理性光学周期非线性误差是限制激光干涉仪测量精度向亚纳米、皮米精度发展的重要瓶颈。消除和抑制第1 类和第2 类周期非线性误差后,仍残余数十皮米的非线性误差。由于周期非线性误差的表现形式与耦合关系复杂,想要进一步降低周期非线性误差幅值,需要继续探索可能存在的第3 类非线性误差机理。3)测量速度与测量分辨力的矛盾关系在动态锁相放大相位测量方法中得到初步解决。但面对深空引力波探测中高速、皮米的测量要求,仍然需要进一步探索弱光探测下的高分辨力相位细分技术;同时,需要研究高速测量过程中的动态误差校准技术。高速、高分辨力特征依旧是相位细分技术今后的研究方向。全文下载:亚纳米皮米激光干涉位移测量技术与仪器_激光与光电子学进展.pdf
  • 无掩膜直写光刻系统助力范德华异质结构器件制备,室温下展现隧穿磁阻率!
    论文题目:Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions发表期刊:ACS Applied Materials & Interfaces IF: 9.5DOI: https://doi.org/10.1021/acsami.3c06167【引言】 基于范德华 (vdW) 异质结构的磁隧道结 (MTJs)具有原子尺度上清晰且锐利的界面,是下一代自旋电子器件的重要材料。传统的Fe3O4、NiFe和Co等材料所制成的MTJ相关器件在10-80K温度下的磁阻率仅为0.2%-3.2%,主要是因为在制备过程中界面处会受到不可避免的损伤。寻找拥有清晰且完整界面的垂直磁各向异性(PMA)的铁磁性晶体就成为了发展MTJ相关器件的关键。二维过渡金属二硫属化物是一种具有清晰的界面二维铁磁材料,近年来成为制备MTJ相关器件的明星材料。然而,在已报道的研究中,尚未有在室温下还展现出一定隧穿磁阻率的相关研究。【成果简介】 近日,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3制备出了基于垂直范德华结构的室温条件下的MTJ器件。器件的上下电极为Fe3GaTe2,中间层为WS2。非线性I-V曲线显示了Fe3GaTe2/WS2/Fe3GaTe2异质结构的隧穿输运行为。在10K的温度下,其隧穿磁阻率可达213%,自旋极化率可达72%。在室温条件下,所制备器件的隧穿磁阻率仍可达11%,此外,隧穿磁阻率可以通过外加电流进行调控,调控范围为-9%-213%,显示出了自旋滤波效应。相关工作以《Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions》为题在SCI期刊《ACS Applied Materials & Interfaces》上发表。 文中所使用的小型台式无掩膜直写光刻系统- MicroWriter ML3具有结构小巧紧凑(70 cm x 70 cm x 70 cm),无掩膜直写系统的灵活性,还拥有高直写速度,高分辨率等特点,为本实验提供了方便高效的器件制备方案。 小型台式无掩膜直写光刻系统- MicroWriter ML3【图文导读】图1. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构及表征。(a)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构的示意图。(b)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件各部分的AFM表征。(c)MTJ器件的刨面图。图2. Fe3GaTe2霍尔器件的磁传输特性。(a)利用MicroWriter ML3无掩模光刻机制备的Fe3GaTe2霍尔器件的AFM表征结果。(b)Rxx随温度的变化。(c)不同温度下,Rxy随磁场的变化。图3. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件的电磁输运特性。(a)在10K和300K的温度下的I-V曲线。(b)在温度为10K和电流为10nA的条件下,电阻和隧穿磁阻率随磁场的变化。图4. 在10K到300K的温度范围内的磁输运测量结果。(a)隧穿磁阻率在不同温度下的结果。(b)隧穿磁阻率随温度的变化。(c)自旋极化率随温度的变化。图5. 论文中制备的器件与其他论文中器件的自旋极化率比较。【结论】 论文中,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3 制备了基于Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件。该器件在10K的温度下,隧穿磁阻率高达213%,自旋极化率为72%。与已报道的MTJ器件相比,论文中所制备的器件在室温下的隧穿磁阻率仍可达11%,为自旋电子器件的发展提供了一种可能。此外,在论文中还可以看出,小型台式无掩膜直写光刻系统-MicroWriter ML3得益于其强大的光刻和套刻能力,可以十分方便地实现实验中所设计图形的曝光,是各学科科研中制备各类微纳器件的得力助手。
  • 无掩膜直写光刻系统助力二维材料异质结构电输运性能研究,意大利科学家揭秘其机理!
    期刊:ACS NanoIF:18.027文章链接: https://doi.org/10.1021/acsnano.1c09131 【引言】MoS2是一种典型的二维材料,也是电子器件的重要组成部分。研究者发现,当MoS2与石墨烯接触会产生van der Waals作用,使之具有良好的电学特性,可广泛应用于各类柔性电子器件、光电器件、传感器件的研究。然而,MoS2-石墨烯异质结构背后的电输运机理尚不明确。这主要是因为传统器件只有两个接触点,不能将MoS2-石墨烯异质结构产生的电学输运特性与二维材料自身的电学特性所区分。此外,电荷转移、应变、电荷在缺陷处被俘获等因素也会对器件的电输运性能产生影响,进一步提高了相关研究的难度。尽管已有很多文献报道MoS2-石墨烯异质结构的电输运性能,但这些研究主要基于理论计算,缺乏对MoS2-石墨烯异质结构的电输运性能在场效应器件中的实验研究。 【成果简介】2021年,意大利比萨大学Ciampalini教授课题组利用小型台式无掩膜直写光刻系统- MicroWriter ML3 制备出基于MoS2-石墨烯异质结构的多场效应管器件,在场效应管器件中直接测量了MoS2-石墨烯异质结构的电输运特性。通过比较MoS2的跨导曲线和石墨烯的电流电压特性,发现在n通道的跨导输运被抑制,这一现象明显不同于传统对场效应的认知。借助第一性原理计算发现这一独特的输运抑制现象与硫空位相关。本文中所使用的小型台式无掩膜直写光刻系统- MicroWriter ML3无需掩膜版,可在光刻胶上直接曝光绘出所要的图案。设备采用集成化设计,全自动控制,可靠性高,操作简便,同时其还具备结构紧凑(70cm X 70cm X 70cm)、高直写速度,高分辨率(XY:1 μm)等特点。灵活多变的前沿光刻技术,有助于MoS2-石墨烯异质结构的多场效应管器件的研发。 【图文导读】图1. 多场效应管器件结构。(a)通过化学气相沉积法合成的石墨烯。(b)同样用气相沉积法合成的MoS2。(c)多场效应管器件的光学照片。右侧示意图中AB为石墨烯作为接触点的MoS2场效应管,CD为MoS2-石墨烯异质结构场效应管。图2. MoS2-石墨烯异质结构的拉曼测量结果。(a)MoS2被转移到石墨烯前和转移后的MoS2拉曼测量结果。(b)MoS2拉曼光谱中A1g峰与E2g峰的变化关系。图中红色实线代表MoS2无应变时A1g峰与E2g峰间的变化关系,蓝色实线代表无掺杂时A1g峰随E2g峰的变化。(c)在MoS2-石墨烯异质结构中A1g峰的图谱。(d)石墨烯未被MoS2覆盖和被覆盖后的拉曼结果。(e)石墨烯拉曼光谱中2D峰与G峰间的关系图。图中红色实线代表无应变时2D峰与G峰间的变化关系,蓝色实线代表无掺杂时2D峰随着G峰的变化。(f)在MoS2-石墨烯异质结构中2D峰的图谱。图3. MoS2-石墨烯异质结构的光致萤光光谱(PL)测量结果。(a)有石墨烯时MoS2的PL光谱(橘色),无石墨烯时MoS2的PL光谱(蓝色)。通过高斯拟合,获得A和B激子吸收峰的位置。(b)MoS2-石墨烯异质结构中A激子吸收峰的强度。(c)A激子吸收峰在MoS2-石墨烯异质结构中的半高宽图谱。图4. MoS2的输运特性。(a)室温条件下,MoS2在0-80V的VG范围内的I-V特性曲线。(b)转移特性显示出强烈的迟滞。红色箭头表面扫频方向,红色虚线为场效应移动的预计值。其中插图为测量器件的光学照片,电极用黑色圆点表示。图5. MoS2覆盖层对石墨烯的电子输运的影响。(a,b)石墨烯上不同MoS2覆盖面积的器件光学照片。(c-g)石墨烯上不同MoS2覆盖面积的转移特性,黑色覆盖率0%,橘色48%,蓝色 55%,黄色69%,紫色79%。图6. 硫空位对场效应的影响。(a)MoS2-石墨烯界面的能带结构和态密度。(b)不同门电压条件下,场效应所导致的电子和空位的分布。蓝色表示电子,红色表示空位。(c,d)在不同门电压条件下,MoS2-石墨烯界面的侧视图以及硫空位(绿色)的位置。图7. 不同硫空位密度条件下,石墨烯导电性能计算值。 【结论】Ciampalini教授课题组首先制备了MoS2-石墨烯二维材料的异质结构,在此基础上使用小型台式无掩膜直写光刻系统- MicroWriter ML3制备了多场效应管器件。通过对多场效应管器件的直接测量,发现了MoS2覆盖层对石墨烯电输运性能的独特抑制作用。为了更好地理解这一独特电输运现象,采用第一性原理的方法,计算了硫空位对石墨烯导电性能的影响。该工作为后续的石墨烯场效应电学及光电器件的研究和应用打下良好的基础。同时,从文中也可以看出,课题组最主要的优势是能够制备出基于MoS2-石墨烯异质结构的多场效应管器件。在制备该器件过程中,需要及时修改相应的参数,得到优化的实验结果,十分依赖灵活多变的光刻手段,小型台式无掩膜直写光刻系统- MicroWriter ML3可以任意调整光刻图形,对二维材料进行精准套刻,帮助用户快速实现器件制备,助力电输运研究。小型台式无掩膜直写光刻系统- MicroWriter ML3
  • 全国纳标委低维纳米结构与性能工作组2020年会暨标准化论坛成功召开
    p style="line-height: 1.5em " 2020年12月7日,在下一代电子信息材料与器件高峰论坛暨第三届低维材料应用与标准研讨会(LDMAS2020)期间,全国纳米技术标准化技术委员会低维纳米结构与性能工作组(以下简称“低维工作组”)年会及委员扩大会议在无锡成功召开。会议对低维工作组2020年重要工作进行了总结,并公开广泛征集新立项国家标准编制工作组成员;会议结束后随即举办标准化论坛。来自国家纳米科学中心的全国纳标委副主任葛广路、秘书长王孝平等领导出席了本次活动。/pp style="line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/0fb2e880-1799-4614-8cf4-a9789ddeaacb.jpg" title="会议.JPG" alt="会议.JPG"//pp style="text-align: center line-height: 1.5em "strong会议现场 /strong /pp style="line-height: 1.5em "strongimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/dd1043ca-c873-4d9c-ac96-d317960f8426.jpg" title="葛广路.JPG" alt="葛广路.JPG"//strong/pp style="text-align: center line-height: 1.5em "strong全国纳标委副主任、国家纳米科学中心研究员葛广路/strong/pp style="text-align: left margin-top: 15px line-height: 1.5em " 会议由低维工作组主任、南京大学教授王欣然主持。葛广路简要介绍了国际标准化工作,低维工作组秘书处汇报了工作组2020年工作总结及2021年工作计划。/pp style="margin-top: 15px line-height: 1.5em " 今年,低维工作组筹划举办了2020年低维材料应用与标准研讨会,该系列研讨会(Symposium on Low-Dimensional Material Application and Standardization, LDMAS)是由低维工作组发起的全国性学术会议,始于2018年,目前已成功举办三届, LDMAS2018在江苏南京召开,LDMAS2019在陕西西安召开,LDMAS2020近日在江苏无锡召开。此外,在2020年,低维工作组有3项国家标准项目计划通过审批,组织申报IEC标准项目2项。 /pp style="margin-top: 15px line-height: 1.5em " 自低维工作组成立以来,一直面向社会各界征集热衷于从事低维纳米技术标准化的专家学者。为进一步加强低维工作组的技术力量和专业覆盖面,增强工作组影响力,本年度增补工作组委员6位,副主任委员2位,副秘书长1位,单位成员4家,以及通讯成员7位。同时,为更好地了解国内低维纳米技术的研究及发展情况,工作组秘书处特走访了部分拟计划增补的工作组委员单位和单位成员单位,并积极参与多个其他标准化活动,与同行互相交流学习。/pp style="margin-top: 15px line-height: 1.5em " 展望2021年,低维工作组将积极开展各类标准的申报及编制工作,加强标准化项目的征集,继续办好LDMAS系列会议以形成行业特色,组织举办各类标准化活动,并加强工作组工作成果宣传,进一步吸纳更多企业及个人加入工作组。/pp style="line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/feb0e489-3b33-4b41-a498-a90ac6e319a9.jpg" title="王孝平.JPG" alt="王孝平.JPG"//pp style="text-align: center line-height: 1.5em "strong全国纳标委秘书长、国家纳米科学中心王研究员王孝平/strong/pp style="margin-top: 15px line-height: 1.5em " 王孝平介绍了低维工作组2020年新立项的3项国家标准,包括《20202906-T-491 纳米技术 小尺寸纳米结构薄膜拉伸性能测定方法》,《20202801-T-491 纳米技术 亚纳米厚度石墨烯薄膜载流子迁移率及方块电阻测量方法》,《20204113-T-491 纳米技术 拉曼法测定石墨烯中缺陷含量》,并欢迎相关单位及专家加入以上国家标准编制工作组,共同参与完成标准制定工作。/pp style="line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/912292e9-8ca5-4907-8fb9-a17a5598c033.jpg" title="丁荣.JPG" alt="丁荣.JPG"//pp style="text-align: center line-height: 1.5em "strong全国纳标委低维工作组副主任、泰州巨纳新能源有限公司董事长丁荣/strong/pp style="text-align: left margin-top: 15px line-height: 1.5em " 最后,低维工作组副主任丁荣介绍了工作组成员增补的具体情况,其中,增补中科院半导体所研谭平恒研究员、东南大学孙立涛教授为副主任委员,东南大学教授吕俊鹏为副秘书长。葛广路、王欣然与丁荣共同为与会的新成员代表颁发证书。/pp style="line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/8ae6ac7e-3dc6-4491-9cb3-45999912a18d.jpg" title="低维聘书.JPG" alt="低维聘书.JPG"//pp style="text-align: center line-height: 1.5em "strong自左至右:丁荣,王欣然,谭平恒,吕俊鹏,葛广路/strong/pp style="line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/41d8fffb-af21-4771-bac9-6a495933f2df.jpg" title="会员.JPG" alt="会员.JPG"//pp style="text-align: center line-height: 1.5em "strong低维工作组新成员单位/strong/pp style="margin-top: 15px line-height: 1.5em " 低维工作组年会及委员扩大会议结束后,全国纳标委秘书处高洁、中科院微系统所王浩敏、武汉大学高恩来、Wiley出版集团蒋方圆、东南大学于远方带来精彩主题报告。br//pp style="margin-top: 15px line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/3fc63dd0-7320-4c0a-8d4b-1131f169addc.jpg" title="1.jpg" alt="1.jpg"//pp style="text-align: center margin-top: 10px line-height: normal "strong报告人:全国纳标委秘书处 高洁/strong/pp style="text-align: center line-height: normal margin-top: 5px "strong报告题目:全国纳米技术标准化委员会(SAC/TC279)情况简介及标准制修订/strong/pp style="margin-top: 15px line-height: 1.5em " 全国纳标委成立于2005年4月,主要负责纳米技术领域的基础性国家标准制修订工作,旨在通过标准促进产学研结合,助推企业发展。为保证标准质量,推进标准项目按期完成,纳标委对标准项目进行全过程管理,2020年组织了48项标准的征求意见、预审查、审查、投票、报批及颁布。此外,纳标委还组织了国家标准外文版翻译项目;与国内相关标委会深入沟通合作,建立了紧密联系;并从10个重要考核指标出发,反思总结其整体工作;积极参与ISO/TC229、IEC/TC113标准化活动。报告中,高洁还对纳标委的立项推荐要点与立项评估程序,以及国家标准的制定流程做了详细介绍。/pp style="margin-top: 5px line-height: 1.5em "strongimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/c8e80801-6988-42a2-9144-869499db2900.jpg" title="2.JPG" alt="2.JPG"//strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告人:中科院微系统所 王浩敏/strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告题目:石墨烯薄膜载流子迁移率及方块电阻测量方法/strong/pp style="margin-top: 5px line-height: normal "strong/strong/pp style="margin-top: 15px line-height: 1.5em " 石墨烯具有极高的载流子迁移率,在电子学领域具有重要的应用前景。目前,制备石墨烯薄膜的方法众多,电学特性测量方法各异,造成产品性能难以比对,限制了该材料的推广和应用。霍尔测量方法具有结果精准,因而受到广大研究人员的认可,但该测量方法在产业界却没有形成统一的标准和规范操作。王浩敏在报告中,提出了一种能够广泛适用的石墨烯电学特性的测量方法,拟与产业界达成共识,形成国家与国际标准。/pp style="margin-top: 5px line-height: 1.5em "strongimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/d484bba4-5141-4584-9f24-e173714e88cb.jpg" title="3.jpg" alt="3.jpg"//strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告人:武汉大学 高恩来/strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告题目:小尺寸纳米结构薄膜拉伸性能测定方法/strong/pp style="margin-top: 15px line-height: 1.5em " 纳米结构薄膜如石墨烯、碳纳米管薄膜等,具有优异的力学性能(强度可达~1-10GPa) , 且在导电、导热、过滤分离等领域有多功能应用。拉伸性能是纳米结构薄膜质量控制和应用开发的核心指标,其准确表征和测量是纳米结构薄膜材料研究、开发和应用的基础。现有的测试纳米结构薄膜力学性能的方法中,所用的测试样品(形状、尺寸)和方式(固定、加载)各异,测试过程具有夹持效应、尺寸效应和应变率效应。因此,测试方法不规范,缺乏相关国际、国家与行业标准。高恩来在报告中,提出了一种规范小尺寸纳米结构薄膜的刚度、强度、韧性等力学性能的测量方法,填补了此领域的空白。/pp style="margin-top: 5px line-height: 1.5em "strongimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/1ed453d8-708f-45b8-bfe3-9911fa2fa18a.jpg" title="4.jpg" alt="4.jpg"//strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告人:东南大学 于远方/strong/pp style="margin-top: 5px text-align: center line-height: normal "strong报告题目:/strongstrongNANOMANUFACTURING – KEY CONTROL CHARACTERISTICS – Graphene – Measuring layer-number distribution of CVD graphene by optical contrast method/strong/pp style="margin-top: 5px line-height: normal "strong/strong/pp style="line-height: 1.5em margin-top: 15px " 通过化学气相沉积法(CVD)制备的大面积石墨烯在科研和工业方面具有广阔的应用前景。在生长过程中, CVD 石墨烯样品上出现的多层晶畴会导致额外的散射来源,严重降低载流子迁移率,影响样品的光学特性。因此,准确表征层数分布情况是研究、开发和应用 CVD 石墨烯的关键。光学对比度法是一种快速、无损且精确的表征手段,但在利用光学对比度法表征层数分布时,显微镜的光场分布、硅衬底表面氧化层厚度和物镜的数值孔径等因素都会影响测量结果;因而,在产业迸发前期,亟待进行 CVD 石墨烯层数分布率测定方法的标准化。/pp style="margin-top: 5px line-height: 1.5em "strongimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/e32f7819-30e7-4b0d-8db5-1f16c9da318a.jpg" title="5.jpg" alt="5.jpg"//strong/pp style="margin-top: 5px line-height: 1.5em "strong/strong/pp style="text-align: center line-height: normal "strong报告题目:审稿标准化:如何做一名优秀的审稿人/strong/pp style="text-align: center line-height: normal "strong报告人:Wiley 出版集团蒋方圆/strong/pp style="line-height: normal margin-top: 15px " 审稿人的工作不仅对论文本身,同时也对该专业领域和整个科学界做出了贡献。期刊希望审稿人能给出及时、客观、专业的评审意见,在帮助期刊筛选合适的发表稿件的同时,又能助力被评审的研究工作锦上添花。本报告从专业编辑的角度,对审稿人的评审流程、评审注意事项等方面作了相关介绍和探讨。/pp style="line-height: normal margin-top: 15px " 为了激励及培养年轻科研人员的工作热情,大会特设立研究生论坛,优选出12位研究生代表分别作各自研究领域的学术报告。大会共评选出5项优秀研究生报告奖,低维工作组副主任、东南大学物理学院院长倪振华,东南大学材料学院副院长陶立,江南大学教授肖少庆为获奖的研究生颁奖。/pp style="line-height: normal margin-top: 15px "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/36e7bc47-5f98-490a-afa4-9ce9077c2cce.jpg" title="刚刚.jpg" alt="刚刚.jpg"//pp style="line-height: normal margin-top: 15px text-align: center "strong研究生报告掠影/strong/pp style="margin-top: 15px line-height: 1.5em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202012/uepic/9ff359c2-b877-4a99-92af-e0c20754e025.jpg" title="11.jpg" alt="11.jpg"//pp style="margin-top: 15px line-height: 1.5em text-align: center "strong优秀研究生报告奖/strong/pp style="line-height: 1.5em margin-top: 25px "更多LDMAS2020精彩内容,详见:/pp style="margin: 0px padding: 0px color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 24px white-space: normal line-height: 1.5em "a href="https://www.instrument.com.cn/news/20201206/566823.shtml" target="_self" style="color: rgb(0, 112, 192) text-decoration: underline "span style="color: rgb(0, 112, 192) "strongspan style="color: rgb(0, 112, 192) font-family: arial, helvetica, sans-serif font-size: 16px "12位院士领衔 下一代电子信息材料与器件高峰论坛暨LDMAS2020盛大开幕/span/strong/span/a/pp style="margin: 0px padding: 0px color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 24px white-space: normal line-height: 1.5em "a href="https://www.instrument.com.cn/news/20201207/566956.shtml" target="_self" style="color: rgb(0, 112, 192) text-decoration: underline "span style="color: rgb(0, 112, 192) "strongspan style="color: rgb(0, 112, 192) font-family: arial, helvetica, sans-serif font-size: 16px "LDMA2020盛会来袭!聚焦大会首日精彩报告/span/strong/span/a/pp style="margin: 0px padding: 0px color: rgb(34, 34, 34) font-family: 微软雅黑 font-size: 24px white-space: normal line-height: 1.5em "a href="https://www.instrument.com.cn/news/20201207/566955.shtml" target="_self" style="color: rgb(0, 112, 192) text-decoration: underline "span style="color: rgb(0, 112, 192) "strongspan style="color: rgb(0, 112, 192) font-family: arial, helvetica, sans-serif font-size: 16px "低维材料与器件盛会LDMAS2020圆满闭幕 2021相约北京/span/strong/span/a/p
  • 贵金属纳米结构组装及其表面增强拉曼散射应用研究获进展
    近期,中国科学院合肥物质科学研究院固体物理研究所研究员孟国文课题组和美国西弗吉尼亚大学教授吴年强研究小组合作,在贵金属纳米结构组装及其表面增强拉曼散射(SERS)应用研究方面取得新进展,相关结果以封面论文发表在《纳米研究》(Nano Res. 2015, 8, 957-966)上。  由于电磁增强作用,位于贵金属纳米结构表面的分子拉曼信号会得到数量级的增强,从而产生表面增强拉曼散射效应。表面增强拉曼散射技术具有分子“指纹”识别能力,在化学和生物分析等领域拥有广泛的应用前景。贵金属纳米结构表面具有大幅度增强局域电磁场的位置(一般位于10nm的间隙处)称为表面增强拉曼散射“热点”,是表面增强拉曼散射信号的主要来源。因此,在三维空间内增加“热点”的密集度将有效提高表面增强拉曼散射灵敏度。目前,构筑三维SERS基底的主要方式是将球形贵金属颗粒组装到非金属纳米结构阵列上。相关理论和实验研究表明,与球形贵金属纳米颗粒相比,带有棱角或尖端的贵金属纳米结构能够产生更强的局域电磁场,因而其组装体在间隙处更易产生“热点”。如果将这些纳米结构组装成三维SERS基底,有望得到高灵敏度SERS基底。  该研究团队以ZnO纳米锥阵列作为牺牲模板,使用含有贵金属离子和特定表面活性剂的电解液,采用电沉积方法构筑多种贵金属纳米结构单元组装的纳米管阵列,例如由银纳米片、金纳米棒、铂纳米刺和钯纳米锥等结构单元组装的纳米管阵列。这些纳米结构单元具有显著的棱角和/或尖端 由其组装的纳米管阵列具有大量间隙,在三维空间内产生高密度的“热点”。因此所构筑的纳米管阵列具有很高的表面增强拉曼散射灵敏度。例如,银纳米片组装的纳米管阵列能够灵敏地检测浓度低至10fM的罗丹明6G (R6G)。这种银纳米片组装的三维SERS基底对高毒性有机污染物多氯联苯也表现出高表面增强拉曼散射灵敏度,并能够检测两种多氯联苯的混合物,表明该三维SERS基底在检测环境中高毒性有机污染物方面具有应用前景。  相关工作得到科技部“973”计划、“中国科学院、国家外国专家局创新团队国际合作伙伴计划”和国家自然科学基金等项目的支持。图1. 论文的相关图片被选作期刊封面  图2. (a)银纳米片组装的纳米管阵列的扫描电镜(SEM)照片 (b)折断的纳米管的SEM照片 (c)不同浓度R6G的SERS光谱 (d) 20μ M多氯联苯-77 (PCB-77)和10μ M多氯联苯-1 (PCB-1)的混合物溶液(曲线I) 以及30μ M的 PCB-1溶液(曲线II)的SERS光谱。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制