当前位置: 仪器信息网 > 行业主题 > >

纳米图像束曝光系统

仪器信息网纳米图像束曝光系统专题为您提供2024年最新纳米图像束曝光系统价格报价、厂家品牌的相关信息, 包括纳米图像束曝光系统参数、型号等,不管是国产,还是进口品牌的纳米图像束曝光系统您都可以在这里找到。 除此之外,仪器信息网还免费为您整合纳米图像束曝光系统相关的耗材配件、试剂标物,还有纳米图像束曝光系统相关的最新资讯、资料,以及纳米图像束曝光系统相关的解决方案。

纳米图像束曝光系统相关的资讯

  • 澳大利亚研制纳米电子束曝光系统
    本报讯 据澳大利亚莫纳什大学网站报道,澳大利亚研究人员正在研制世界最强大的纳米设备之一——电子束曝光系统(EBL)。该系统可标记纳米级的物体,还可在比人发直径小1万倍的粒子上进行书写或者蚀刻。  电子束曝光技术可直接刻画精细的图案,是实验室制作微小纳米电子元件的最佳选择。这款耗资数百万美元的曝光系统将在澳大利亚亮相,并有能力以很高的速度和定位精度制出超高分辨率的纳米图形。该系统将被放置在即将完工的墨尔本纳米制造中心(MCN)内,并将于明年3月正式揭幕。  MCN的临时负责人阿彼得凯恩博士表示,该设备将帮助科学家和工程师发展下一代微技术,在面积小于10纳米的物体表面上实现文字和符号的书写和蚀刻。此外,这种强大的技术正越来越多地应用于钞票诈骗防伪、微流体设备制造和X射线光学元件的研制中,还可以支持澳大利亚同步加速器的工作。  凯恩说:“这对澳大利亚科学家研制最新的纳米仪器十分重要,其具有无限的潜力,目前已被用于油漆、汽车和门窗的净化处理,甚至对泳衣也能进行改进。而MCN与澳大利亚同步加速器相邻,也能吸引更多的国际研究团队的目光。”  MCN的目标是成为澳大利亚开放的、多范围的、多学科的微纳米制造中心。该中心将支持环境传感器、医疗诊断设备、微型纳米制动器的研制,以及新型能源和生物等领域的研究和模型绘制。除电子束曝光系统外,MCN中还包含了高分辨率双束型聚焦离子束显微镜、光学和纳米压印光刻仪、深反应离子蚀刻仪和共聚焦显微镜等众多设备。  凯恩认为:能够介入这种技术使我们的科学家十分兴奋,它可以确保我们在未来十年内在工程技术前沿领域的众多方面保持领先地位,也将成为科学家在纳米范围内取得更大成就的重要基点。(张巍巍)
  • 1337万!大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目
    项目编号:DUTASZ-2022066项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目预算金额:1337.0000000 万元(人民币)最高限价(如有):1337.0000000 万元(人民币)采购需求:各包预算金额及最高限价:A包:X射线光电子能谱仪,预算金额:500万元;B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元;A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析;B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。本项目( 不接受 )联合体投标。
  • “1.5米扫描干涉场曝光系统”通过验收
    p/pp style="text-align: center "img style="max-width: 100% max-height: 100% width: 311px height: 212px " src="https://img1.17img.cn/17img/images/202009/uepic/4ca21b5b-64a5-457f-b1d8-824702f6ea76.jpg" title="tpxw2020-09-29-03.jpg" alt="tpxw2020-09-29-03.jpg" width="311" height="212"//pp style="text-align: center "图1. “1.5米扫描干涉场曝光系统”项目验收专家组会议现场/pp style="text-align: center "img style="max-width: 100% max-height: 100% width: 324px height: 243px " src="https://img1.17img.cn/17img/images/202009/uepic/60a97bc9-669b-4a03-a6b5-caa2176d22eb.jpg" title="tpxw2020-09-29-04.jpg" alt="tpxw2020-09-29-04.jpg" width="324" height="243"//pp/pp style="text-align: center "图2. 项目负责人巴音贺希格研究员汇报项目完成情况/pp  2020年8月24-25日,国家自然科学基金委员会(以下简称自然科学基金委)信息科学部组织专家对中国科学院长春光学精密机械与物理研究所承担的国家重大科研仪器设备研制专项(部委推荐)“1.5米扫描干涉场曝光系统”进行了结题验收。验收专家组由光学、仪器、档案和财务等领域的23位专家组成。自然科学基金委党组成员、副主任王承文出席/pp  专家组认为,项目组成功研制了1.5米扫描干涉场曝光系统,取得了一系列技术创新和突破,各项性能指标均达到或超过项目计划书要求,全面完成了项目的研制任务;项目管理文件和技术文档记录全面,内容真实可靠,档案立卷符合规范要求;项目经费使用符合管理办法要求;一致同意项目通过验收。/pp  王承文副主任对项目组取得的成果给予肯定,强调仪器设备在科学研究、技术创新以及科技强国建设中的重要地位,并希望依托单位和项目组进一步做好项目的科技成果转化,使科学仪器设备发挥更大的作用。/ppbr//p
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是可以应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能可以使所有必要的校准和曝光方案互相配合广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构进行分析的所有用户。NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。MultibeamMultibeam Corporation总部位于加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,可以提高晶圆加工速度。Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是同时进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。JC Nabity自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司一直致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地越来越多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作. 为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还可以实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简便的电子束曝光系统。事实上,NPGS可以应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还没有其他扫描电镜电子束曝光系统可以像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。作为ASML的竞争对手,Mapper在2018年12月份被证实正式宣布破产,公司拥有270名员工和众多电子束光刻机相关的IP。Mapper曾经以为自己找到了半导体制造行业的痛点,无奈研发能力跟不上,最终错过了好时机。Mapper为了降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具有很高的分辨率、较大的焦深与灵活性。Mapper设备通过使用电子束书写而不再需要掩模,让芯片成本有望实现明显下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。IBM20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm2子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线条,束偏移±2.5mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主要问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。Zyvex LabZyvex Corporation 由 Jim Von Ehr 于 1997 年创立,旨在开发和商业化原子精密制造 (APM) 技术,以制造具有原子精密度的产品。2007 年 4 月,Zyvex Corporation 重组为三个独立的公司,以确保持续专注于产品:Zyvex Performance Materials LLC、Zyvex Instruments LLC 和 Zyvex Labs LLC。资产在三个公司之间分配,并为材料和仪器业务聘请了专门的管理人员。Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展中国科学院电工研究所由中国科学院电工研究所承担的中科院知识创新工程重大项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技和半导体前沿研究,满足我国科研机构和国防建设需要。 在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科技研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。中国电子科技集团公司第四十八研究所中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了重要作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。深圳量子科学与工程研究院深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。据了解,围绕核心关键技术研发,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已获得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。泽攸科技近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。整体来看,国际龙头企业在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 1780万!哈尔滨工程大学X射线/紫外光电子能谱和聚焦离子束-电子束曝光系统(FIB-EBL)采购项目
    一、项目基本情况1.项目编号:ZG-ZWG-2023168/2758-234ZGZB23168项目名称:哈尔滨工程大学X射线/紫外光电子能谱采购项目预算金额:900.000000 万元(人民币)最高限价(如有):900.000000 万元(人民币)采购需求:X射线/紫外光电子能谱1套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。2.项目编号:ZG-ZWG-2023066/2758-234ZGZB23066项目名称:哈尔滨工程大学聚焦离子束-电子束曝光系统(FIB-EBL)采购项目预算金额:880.000000 万元(人民币)最高限价(如有):880.000000 万元(人民币)采购需求:聚焦离子束-电子束曝光系统(FIB-EBL)一套合同履行期限:合同签订后12个月内完成所有设备到货,所有设备调试完毕并具备验收条件本项目( 不接受 )联合体投标。二、获取招标文件时间:2023年11月06日 至 2023年11月13日,每天上午8:30至12:00,下午12:00至16:30。(北京时间,法定节假日除外)地点:黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号);方式:拟参加本项目的潜在投标人,请于2023年11月06日至2023年11月13日,每天上午08时30分至下午16时30分到黑龙江中冠项目管理有限公司(中国黑龙江省哈尔滨市道里区友谊西路2982号)获取采购文件,采购文件不予邮寄;售价:¥500.0 元,本公告包含的招标文件售价总和三、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:哈尔滨工程大学     地址:哈尔滨市南岗区南通大街145号        联系方式:王老师 0451-82519862      2.采购代理机构信息名 称:黑龙江中冠项目管理有限公司            地 址:中国黑龙江省哈尔滨市道里区友谊西路2982号            联系方式:刘女士 0451-82663366转8008/8006            3.项目联系方式项目联系人:刘女士电 话:  0451-82663366转8008/8006
  • 1100万!国科大杭州高等研究院单晶X射线衍射仪、扫描电子显微镜及电子束曝光系统采购项目
    一、项目基本情况 1.项目编号:0625-23218C93 项目名称:国科大杭州高等研究院扫描电子显微镜及电子束曝光系统 预算金额(元):6000000 最高限价(元):/ 采购需求: 标项名称: 扫描电子显微镜及电子束曝光系统 数量: 1 预算金额(元): 6000000 简要规格描述或项目基本概况介绍、用途:详见招标文件 备注:允许进口 合同履约期限:标项 1,详见招标文件 本项目(是)接受联合体投标。 2.项目编号:ZJ-2362384 项目名称:国科大杭州高等研究院单晶X射线衍射仪采购 预算金额(元):5000000 最高限价(元):5000000 采购需求: 标项名称: 单晶X射线衍射仪 数量: 不限 预算金额(元): 5000000 简要规格描述或项目基本概况介绍、用途:单晶X射线衍射仪1台。具体以招标文件第三部分采购需求为准,供应商可点击本公告下方“浏览采购文件”查看采购需求。 备注:允许进口 合同履约期限:标项 1,按照招标文件要求 本项目(是)接受联合体投标。二、获取招标文件 时间:/至2023年11月10日 ,每天上午00:00至12:00 ,下午12:00至23:59(北京时间,线上获取法定节假日均可,线下获取文件法定节假日除外) 地点(网址):政采云平台(https://www.zcygov.cn/) 方式:供应商登录政采云平台https://www.zcygov.cn/在线申请获取采购文件(进入“项目采购”应用,在获取采购文件菜单中选择项目,申请获取采购文件) 售价(元):0 三、对本次采购提出询问、质疑、投诉,请按以下方式联系 1.采购人信息 名 称:国科大杭州高等研究院 地 址:杭州市西湖区转塘街道象山支弄1号 传 真: 项目联系人(询问):王老师 项目联系方式(询问):0571-86085786 质疑联系人:沈老师 质疑联系方式:0571-86080792 2.采购代理机构信息 名 称:浙江国际招投标有限公司 地 址:杭州市文三路90号东部软件园1号楼3楼317室 传 真:/ 项目联系人(询问):沈建平(18005883302)、倪樟如 项目联系方式(询问):0571-81061840,0571-81061802 质疑联系人:董福利 质疑联系方式:0571-81061818        3.同级政府采购监督管理部门 名 称:杭州市财政局政府采购监管处 /浙江省政府采购行政裁决服务中心(杭州) 地 址:杭州市上城区四季青街道新业路市民之家G03办公室 传 真:/ 联 系 人:朱女士/王女士 监督投诉电话:0571-85252453
  • 韩立:电子束曝光机是半导体制造的基础设备
    p style="text-align: justify text-indent: 2em "10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。/pp style="text-align: justify text-indent: 2em "会议期间,来自中国科学院电工研究所的韩立研究员做了《电子束曝光及相关技术的研究》的报告。/pp style="text-align: center text-indent: 0em "script src="https://p.bokecc.com/player?vid=7657F36C41DF1A879C33DC5901307461&siteid=D9180EE599D5BD46&autoStart=false&width=600&height=350&playerid=621F7722C6B7BD4E&playertype=1" type="text/javascript"/script/pp style="text-align: justify text-indent: 2em "据介绍,电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。/pp style="text-align: justify text-indent: 2em "韩立在报告中谈到,电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。/pp style="text-align: justify text-indent: 2em "电子光柱体主要作用是通过控制束斑、束流、加速电压、最小线宽、写场尺寸和扫描频率,来实现束斑小,亮度高,速度快的曝光。但这些参数控制往往相互矛盾,对此韩立介绍了电工所和日本电子的解决方案。/pp style="text-align: justify text-indent: 2em "图形发生器主要用于解决复杂图形控制难题,以提高扫描速率、生产率和图形复杂度。如果直接对曝光点位进行曝光,数据量太大而难以处理,因此需要将复杂的原始图形切割成基本图形,这样就能用简单的参数来实现控制。为保证控制精度,图形发生器从单束发展到多束,同时用激光束来补偿位置的偏移。/pp style="text-align: justify text-indent: 2em "激光工件台以平面镜激光干涉仪作为整个系统的测量基准,主要有光栅扫描和矢量扫描两种工作方式。工件台主要性能指标包括了加工精度、拼接精度和套刻精度,主要通过结合激光干涉仪来实现。/pp style="text-align: justify text-indent: 2em "目前,我国电子束曝光机严重依赖进口,但国外已禁止对中国出售最新型号的设备。对此,韩立结合在电工所多年的电子束曝光技术研发经历和应用推广情况,深入探讨了如何在电子束曝光机研制中取得突破,提出了自己的一些真知灼见。/p
  • Nature子刊带大家进入热扫描探针构筑的奇妙纳米世界
    上世纪五十年代末期,诺奖得主、物理学鬼才理查德费曼在加州理工学院的物理年会上,作了题为《There' s Plenty of Room at the Bottom》的报告,具前瞻性地提出了他对于纳米尺度操作及控制的框架性想法,并由此开启了无数科研工作者在纳米尺度上探究物质奥秘并通过相关的纳米技术来改变、造福人类的道路。同样是在上世纪五六十年代,采用平面处理工艺批量制备晶体管的策略出现,由此开启了集成电路产业的飞速发展。摩尔博士在六十年代中期提出了著名的摩尔定律“当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍”。而其中元器件数量的增多,是通过不断缩小元器件的关键尺寸来实现的。不论是在纳米尺度上进行探索,或是与人们生活息息相关的集成电路产业发展,都需要制备各种各样的纳米结构、纳米功能单元或纳米器件。而在制备各类纳米结构的过程中,为重要的操作就是通过光刻来实现在不同的材料上定义图案区域。目前,在工业上,先进的EUV光刻机具备7 nm技术节点的制备工艺中所需的图形加工能力,但其单值高,比一架F-35战斗机的价格还会高出不少。对于科研工作者来说,目前通常采用的基于光学曝光原理的科研光刻设备(科研的无掩模曝光系统、掩模对准式曝光系统等),能够实现的图形加工分辨率一般在微米尺度或亚微米尺度。而随着研究对象尺度的不断减小,对纳米尺度结构构筑的需求,上述基于光学曝光原理的科研光刻系统显然是不能够完全满足的。基于聚焦电子束、离子束的各类图案化加工设备,比如电子束光刻系统、聚焦离子束系统等,能够有效满足科研中对于纳米尺寸的图形加工需求。然而,由于电子束流和离子束流需要聚焦,这类设备通常由较为复杂的电子光学系统构成,因此价格相较于上述科研光学光刻设备要高出很多(即使是科研的电子束曝光系统,其单值也远超科研的光学曝光设备)。另一方面,聚焦电子束、离子束系统的复杂性也对操作人员和设备维护人员提出了较高的要求。 图1 热扫描探针光刻系统诱导材料局部变化的三种机制 在科研领域中,扫描探针光刻(thermal scanning probe lithography)是另一种颇受关注的图案化工艺方案,能够实现纳米(甚至原子的)图案制备的需求,其核心思路是通过纳米针诱导材料表面局部的改性来实现图案化。纳米针诱导材料表面改性的机制有很多种,包括力学、电学、热学、扩散等等,也由此产生了许多不同的扫描探针光刻技术。在诸多的扫描探针光刻技术中,热扫描探针光刻技术(thermal scanning probe lithography,t-SPL)是近年来发展起来的一种可快速、可靠、高精度地实现纳米图案化工艺,其技术核心是利用加热针的热能来诱导局部材料的改性。通常,热是材料转化中较为普遍的驱动因素,在很多材料中能诱导结晶、蒸发、熔化等改性现象。在纳米尺度上,由于只有很小的体积被加热,所以材料改性的特征时间是以纳秒量来计算的。因此,加热几微秒就足以改变针下的材料。对于刻写速度而言,悬臂梁的机械扫描运动成为图案化工艺速度方面的主要限制。然而,凭借扫描探针领域良好的技术积累,目前可以实现高达20 mm/s的刻写速度,能够满足大多数科研上的图案化制备工艺需求。同时在微纳图案结构的加工精度及分辨率方面,热扫描探针光刻技术可以实现特征线宽在10 nm以下的微纳结构的制备。图2 利用热扫描探针光刻进行热敏抗刻蚀剂的图案化工艺后,结合各类工艺实现的微纳结构及器件案例 作为一种高精度图案化工艺设备,近些年来热扫描探针光刻技术得到飞速发展,然而很多研究人员还比较陌生。着眼于此,洛桑联邦理工的S. T. Howell博士以及瑞士Swisslitho的F. Holzner博士撰写了综述《Thermal scanning probe lithography—a review》(已于2020年4月6日刊载在NPG旗下期刊Microsystems & Nanoengineering,详细信息可参考链接https://doi.org/10.1038/s41378-019-0124-8),Howell等人向大家详细介绍了热扫描探针光刻的历史、原理、图案转移工艺以及在基于新型低维材料的微纳电子器件、自旋电子器件、光子学微纳结构、微纳流控、微纳机电等领域的应用案例。图3 利用热扫描探针光刻进行定域材料转换的应用案例 另一方面,不同于很多新型光刻策略还停留在实验室中,瑞士Swisslitho公司已经成功将热扫描探针光刻技术商品化,名为NanoFrazor。在国内外的诸多用户当中,已有不少基于NanoFrazor制备的结构而开展的研究,相关结果也都发表在了Science、Nature、PRL、等高水平期刊上。图4 热扫描探针诱导的增材工艺的应用案例
  • 成果分享|电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱仿生制造
    div class="rich_media_content " id="js_content" style="margin: 0px padding: 0px overflow: hidden color: rgb(51, 51, 51) font-size: 17px overflow-wrap: break-word text-align: justify position: relative z-index: 0 font-family: -apple-system-font, BlinkMacSystemFont, " helvetica="" pingfang="" hiragino="" sans="" microsoft="" yahei="" font-style:="" font-variant:="" font-weight:="" letter-spacing:="" text-indent:="" text-transform:="" white-space:="" word-spacing:="" -webkit-text-stroke-width:="" background-color:=""section class="" style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important white-space: normal "p style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-size: 15px line-height: 22.5px letter-spacing: 1px font-family: 宋体, SimSun "生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-size: 15px line-height: 22.5px "例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px background: white none repeat scroll 0% 0% "准确无误地识别出特定形状的物体/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "?/span/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/1d1bb32e-3372-45b8-b9f6-aecdb9c4480d.jpg" title="2.jpg" alt="2.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "苍蝇特殊的“复眼”结构(图片来源于网络)/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: justify "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "而在最近的一项研究中,昆士兰科技大学的研究团队/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "并取得了重要研究成果/strong。目前,该项研究论文已被/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Journal of Materials Chemistry/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "录用,论文题目为/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究中涉及的strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "大量仿生制备工作由/strong/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN /span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "的/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "完成/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",并使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "场发射扫描电子显微镜表征细胞间相互作用/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: center "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "img src="https://img1.17img.cn/17img/images/201903/uepic/a35e931d-e573-43b1-b2e3-19aec186d880.jpg" title="3.jpg" alt="3.jpg"//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "研究论文已被/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Journal of Materials Chemistry/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% ")/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "录用/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在生物医学上的应用span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px color: black "产生了浓厚兴趣。昆士兰科技大学/span/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "Prasad KDV Yarlagadda/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "首次使用电子束曝光技术(/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")进行蝉翼结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "加工出/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "类似的纳米锥阵列结构,/strong经研究发现,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "同样具有杀菌和生物相容性/strong。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black font-family: 宋体, SimSun "br style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "//span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/7a2f8f38-2397-4cfd-9bc6-e54722e8408a.jpg" title="4.jpg" alt="4.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-family: 宋体, SimSun letter-spacing: 1px margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "昆士兰科技大学的研究团队strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "巧妙地利用电子束在光刻胶中的散射/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "通过控制电子束能量/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "制作出椎体的“模子”/strong,然后strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "利用沉积生长出需要的椎体/strong,最后腐蚀掉所有光刻胶,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "得到了完美的纳米锥阵列/strong。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d17d1ca2-607e-4fd8-a567-b4576f6cf055.jpg" title="5.jpg" alt="5.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "仿生纳米锥阵列的制作过程示意图/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "进一步实验发现,其同样具有杀菌性和生物相容性/strong。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 br//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/77a6fae3-0d6c-41a1-889b-fb64fb4de48f.jpg" title="6.jpg" alt="6.jpg" style="width: 600px height: 192px " width="600" vspace="0" height="192" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "通过/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "EBL/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "技术制备的仿蝉翼结构的/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "纳米锥陈列/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px font-family: 宋体, SimSun "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-family: 微软雅黑, sans-serif color: rgb(0, 122, 170) background: white none repeat scroll 0% 0% "/span/em/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d4e4f267-227c-4ac9-bef0-70e9490d9095.jpg" title="7.jpg" alt="7.jpg" style="width: 600px height: 165px " width="600" vspace="0" height="165" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "图:/span/em/span/strongspan style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "E/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在制备出的仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着铜绿假单胞菌细胞;/span/em/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "F/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")对照/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱和仿生纳米/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱上附着的人成骨细胞的活性;(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "G/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着扩散良好的成骨细胞;/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术/span/span/strongspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "(光刻胶)/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "避免了传统方法中对模板加工和使用的复杂过程/strong,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "高分辨、高度灵活性、高灵敏度/strong的特点也受到研究人员关注,且/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。/span/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "在上述工作中,昆士兰科技大学研究团队使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "高分辨场发射扫描电子显微镜搭配/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "自主研发的电子束曝光strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-size: 15px letter-spacing: 1px text-indent: 28px white-space: normal "span style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "(/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "EBL/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black ")/span/strong技术/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "出色完成了相关工作。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "不久前,昆士兰科技大学新采购了一台/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "最新的/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "S8000X Xe Plasma FIB-SEM/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",这是一款功能强大的氙等离子源/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "FIB/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",配置了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/05bb9e46-ded2-4c0d-87c8-d28cc7511e52.jpg" title="8.jpg" alt="8.jpg" style="width: 450px height: 314px " width="450" vspace="0" height="314" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "昆士兰科技大学最新采购的/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "TESCAN S8000X Xe/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "等离子源/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "FIB-SE/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px line-height: 22.5px letter-spacing: 0.5px font-weight: normal background: white none repeat scroll 0% 0% "M/span/em/strong/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 32px line-height: 1.75em "span style="font-size: 14px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(136, 136, 136) font-family: 宋体, SimSun "注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。/span/p/section/div
  • 国家纳米中心3200万仪器采购大单揭晓
    2012年12月11日,中国政府采购网公布了国家纳米科学中心2012年仪器设备采购项目中标公告。此次采购包括冷场发射扫描电子显微镜、原子力显微镜等55套仪器,采购金额高达3200万元人民币。  具体公告详情如下:  采购人名称:国家纳米科学中心  采购代理机构全称:东方国际招标有限责任公司  采购项目名称:国家纳米科学中心2012年仪器设备采购项目  招标编号:OITC-G12026470  定标日期:2012年12月11日  招标公告日期:2012年11月21日包号品目号货物名称数量11-1冷场发射扫描电子显微镜11-2生物材料制备系统11-3细胞培养系统11-4分子生物学研究系统11-5低温系统11-6正置光学显微镜11-7倒置光学显微镜11-8金相显微镜122-1原子力显微镜12-2多靶磁控溅射镀膜系统12-3超高真空电子束蒸发镀膜仪12-4电感耦合等离子体刻蚀系统12-5等离子体增强化学气相沉积系统12-6He-Cd 激光器12-7He-Ne 激光器12-8探针台22-9电化学工作站12-10移动式光谱仪133-1计算模拟硬件系统13-2计算机模拟软件系统13-3激光光源13-4真空镀膜仓13-5环境控制电纺丝系统13-6高温生长设备13-7超高真空热蒸发镀膜仪13-8紫外曝光系统13-9反应离子束刻蚀系统13-10等离子(Plasma)清洗系统13-11快速热处理系统13-12原子层沉积系统13-13电学测量仪13-14实时力测量系统13-15高精度直流电源及交流电源23-16多通道电信号测量设备23-17锂离子电池测试系统和手套箱13-18太阳能测试系统光源13-19光电转化效率(IPCE)测试系统13-20线性马达53-21精密电测量系统10  中标结果:包号中标供应商名称中标金额1北京中原合聚经贸有限公司欧元34080+美元850016.96+人民币4581392上海颐合贸易有限公司美元1078293+欧元7673483上海颐合贸易有限公司人民币3671846+美元1040022+欧元338852  评标委员会成员名单:胡达平、李振声、张连清、纪威、陆敏  本项目联系人:徐薇薇  联系电话:68729913  感谢各投标人对本项目的积极参与,并请未获中标的供应商于即日起5个工作日内来我公司办理保证金退回事宜(来前请先电话联系)。  东方国际招标有限责任公司  2012年12月11日
  • JASIS 2018新品发布之ELIONIX公司:纳米压痕仪
    p  strong仪器信息网讯/strong 2018年9月5日,日本最大规模的分析仪器展JASIS 2018在东京幕张国际展览中心盛大开幕,吸引来自全球各地的万余名观众参观出席。br//pp  作为国际知名电子束曝光系统(EBL)生产商,Elionix在展会期间带来其纳米压痕仪新品——ENT-NEXUS。/pp style="text-align: center "img title="ELIONIX公司纳米压痕仪.jpg" style="width: 400px height: 267px " alt="ELIONIX公司纳米压痕仪.jpg" src="https://img1.17img.cn/17img/images/201810/uepic/bc2d6c3c-6aac-4c7b-b8e3-fee06e3fe7c4.jpg" height="267" border="0" vspace="0" width="400"/br/strongELIONIX公司纳米压痕仪/strong/pp Erionix新的ENT系列,ENT-NEXUS上市,采用允许自由组合框架和单元的模块配置,可以进行各种测量,例如纳米压痕测试和表面力测量。(最多两种类型的可安装单元)/pp  产品特点包括:高数据再现性,实现了高稳定性S/N系统,即使在超小负载范围内也可以进行具有良好再现性的测试 测量环境管理组织,在纳米压痕测试中,由于样品本身和样品本身的温度变化引起的热漂移是一个严重的问题,为了最大限度地减少由于热漂移对测量的影响,屏蔽罩内的温度控制在± 0.1° C 高性能防振台安装,主动控制的高性能防振台是标准配置 出色的可操作性,采用易于操作的软件,因为只需设置测试条件即可实现全自动测量,即使是初学者也可轻松操作而不会出现人为错 突破模块配置等。/pp /p
  • 紫金山天文台在多次曝光图像的超分辨率重建领域取得进展
    目前,天文观测中望远镜的最高分辨率和感光元件的采样率仍是获得高质量图像的瓶颈。近期,中国科学院紫金山天文台科研团队提出新的图像叠加方法——欠采样的“多次曝光图像反混叠与PSF反卷积技术”,获得了超过望远镜衍射极限的超分辨率图像。该项技术已应用在中国空间站工程巡天望远镜多通道成像仪(CSST-MCI)的科学仿真数据处理管线中,届时CSST-MCI超深场的极限星等将达到30等,光学分辨率至少提高一倍。相关研究成果发表在《皇家天文学会月报》(Monthly Notices of the Royal Astronomical Society)上。   19世纪照相术的应用为天文观测领域带来质的飞跃,而20世纪基于CCD、CMOS的数字照相技术引领天文学进入数字化时代,产生了斯隆数字巡天、哈勃太空望远镜、XMM-Newton、凯克等望远镜,本年度世界最强大的太空望远镜“韦布”(JWST)也投入运行。但受限于建造技术和加工工艺,望远镜口径无法无限制加大,感光元件的最小感光单元(pixel)尺寸也无法无限小。在硬件提供有限支持的条件下,科研人员通过软件技术来提升图像质量。天文观测不同于普通的摄影拍照,被拍摄对象一般是恒久不变的(如系外恒星、星系等)。因此,对于欠采样的感光元件,研究通过对同一天区多次曝光再叠加的方法,提高采样率和信噪比。另一方面,将望远镜口径支架的衍射模型与拍摄到的恒星(点源)图像相结合,能构建决定望远镜最高分辨率的点扩散函数(PSF),再结合适当的PSF反卷积方法可获得超过望远镜衍射极限的超分辨率图像。   在综合分析其他多次曝光图像叠加技术的基础上,科研人员提出带有比值改正项的迭代新技术——欠采样的“多次曝光图像反混叠与PSF反卷积技术”。该技术根植于贝叶斯统计理论,并引入了正则化手段,加快了迭代收敛速度,减少反复使用快速傅立叶变换而导致的振铃效应(ringing),从而得到具更高保真度的超分辨率图像。与其他技术相比较,新技术用最少的迭代步数实现了在多种场景下(噪音不同、源形态不同、背景不同等)最高峰值信噪比(PSNR)、最高光学分辨率、几乎最高的结构相似性(SSIM)和最小的流量改变量等关键技术指标,有利于分辨天体的空间结构、天体测光和引力透镜信号测量。   研究工作得到国家自然科学基金委、中国载人航天计划、中科院前沿科学重点研究计划和国家基础学科公共科学数据中心等的支持。图1.新技术(黑线)与其他工作在PSNR,SSIM和流量改变量(越小越好)的对比,横轴为迭代次数。图2.超分辨本领对比,三组双星系统分别在单次曝光的欠采样观测图像(左一)、Drizzle(叠加110副观测图像,左二)、Richardson-Lucy(其他研究工作中最好的图像,左三)以及重建技术(右一)中的表现,可看到新技术能完全分辨出三组双星系统的图像重建。图3.新技术在JWST-NIRcam三波段F090W(blue)、F150W(green)、F200W(red)的RGB合成图中的表现。动图显示的是SMACS-0723-clusterfield中的一角,一帧是Drizzle叠加的原图(星系上的星芒明显),另一帧是利用新技术进行欠采样反混叠和PSF反卷积后的图像,可看到被卷积在星系上的星芒已被消除,该图比NASA官方发布的图像像素解析度提高一倍(9384X9384pixel),光学分辨率提高至少一倍。
  • TESCAN 正式发布一款全新的用于SEM和FIB-SEM系统中电子束曝光(EBL)的软硬件解决方案
    TESCAN Essence™ EBL套件包含软件模块,可通过Essence™ 电镜控制软件直接控制电子束曝光(EBL)工艺,从而高效地实现微米和纳米级结构与器件的原型设计。 2021年1月29日,TESCAN ORSAY HOLDING a.s. 正式发布 EssenceTM EBL(电子束曝光)套件,这是一款完全集成的专用的解决方案,可以配置在TESCAN SEM和FIB-SEM仪器上,它与TESCAN的快速静电束闸配合使用,为实验室研究提供了更多的可能,在满足对微米和纳米级结构及器件进行原型设计的同时,还可以实现SEM和FIB-SEM的成像及分析功能。 电子束曝光是一种使用聚焦电子束在覆盖有电子敏感膜(抗蚀剂)的表面上绘制图案的技术。该技术在原型制作中很常见,可在各种基板上制备具有特定形状、尺寸和材料成分的微结构和纳米结构,例如传感器、光子、等离子体、自旋电子器件、MEMS、微流体以及用于细胞生长的表面。 TESCAN TOF-SIMS及纳米成型方向应用产品经理Tomáš Šamořil 曾说:“在大学或其它机构的小型科研团队中,仪器通常由多个团队共享,因此功能多样性、易用性和可靠性是购买新设备时需要考虑的主要问题,通过将SEM或FIB-SEM的功能扩展到中级电子束曝光应用,就能够满足表征和原型制作的需求。”在SEM或FIB-SEM上增加电子束曝光技术后,研究人员可以充分利用这一平台的分析能力,在完成曝光过程后快速验证结构、尺寸或材料组成。电子束曝光(EBL)与聚焦离子束(FIB)和气体注入系统(GIS)技术提供的精密材料刻蚀,已被证明是一种强大的组合,可用于光子学、光学、等离子体、磁学、生物学及电子学中微米和纳米器件快速原型设计。 TESCAN EssenceTM EBL套件包括软件模块,该模块完全集成在TESCAN的EssenceTM电镜控制软件中,并使用开源的第三方图案编辑器KLayout执行所有必需的光刻步骤。这样使操作变得非常容易,简化了混合技术的设计过程,无需进行复杂的数据处理。欲了解TESCAN EssenceTM EBL 详情,请点击此处。
  • 细胞分泌物的实时纳米等离子体成像 ——新的纳米等离子体成像系统允许对单细胞分泌物进行时空监测
    • Inara Aguiar来自生物纳米光子系统实验室(BIOS)、EPFL和日内瓦大学的研究人员开发了一种光学成像方法,可以在空间和时间上提供细胞分泌物的四维视图。通过将单个细胞放入纳米结构镀金芯片的微孔中,并在芯片表面诱导一种称为等离子体共振的现象,他们可以在分泌物产生时绘制分泌物的图谱。这项研究发表在《自然生物医学工程》(Nature Biomedical Engineering )杂志上,详细介绍了细胞的功能和交流方式,有助于药物开发和基础研究。芯片上的单个单元。(图片来源:BIOS EPFL)细胞分泌物(即蛋白质、抗体和神经递质)在免疫反应、代谢和细胞之间的交流中起着至关重要的作用。了解细胞分泌物的过程对开发疾病治疗至关重要;然而,现有的方法只能量化分泌物,而不能提供其产生机制的任何细节。BIOS负责人Hatice Altug表示:“我们工作的一个关键方面是,它使我们能够以高通量的方式单独筛选细胞。对许多细胞平均反应的集体测量并不能反映它们的异质性……在生物学中,从免疫反应到癌症细胞,一切都是异质性的。这就是为什么癌症如此难以治疗。”筛选细胞分泌物该方法包括一个1cm2的纳米等离子体芯片,由数百万个小孔和数百个用于单个细胞的腔室组成;该芯片由覆盖有薄聚合物网的纳米结构金基底组成。用细胞培养基填充腔室以在测量过程中保持细胞存活。Saeid Ansaryan说:“我们仪器的美妙之处在于,分布在整个表面的纳米孔将每个点都转化为传感元件。这使我们能够观察释放蛋白质的空间模式,而不考虑细胞的位置。”使用这种新方法,可以评估两个重要的细胞过程,细胞分裂和死亡。此外,还对分泌精细抗体的人类供体B细胞进行了研究。研究小组可以看到两种形式的细胞死亡过程中的细胞分泌,细胞凋亡和坏死。在后者中,内容以不对称的方式释放,产生了图像指纹——这是科学家首次能够在单细胞水平上捕捉到细胞特征。由于测量是在营养丰富的细胞培养基中进行的,因此与其他成像技术一样,它不需要有毒的荧光标记,并且所研究的细胞可以很容易地回收。根据作者的说法,“该系统的多功能性和性能及其与粘附细胞和非粘附细胞的兼容性表明,它可以为全面了解单细胞分泌行为铺平道路,应用范围从基础研究到药物发现和个性化细胞治疗。”原始出版物:Ansaryan, S., Liu, YC., Li, X., et al.: High-throughput spatiotemporal monitoring of single-cell secretions via plasmonic microwell arrays. Nat. Biomed. Eng. (2023) DOI: 10.1038/s41551-023-01017-1作者简介Inara AguiarInara是一位拥有无机化学博士学位的科学编辑和作家。在获得计算化学博士后后,她开始在化学、工程、生物工程和生物化学领域担任科学编辑。她一直在几家科学出版商担任技术作家/编辑,最近加入威利分析科学公司,担任自由职业内容创作者。本文来源:Real-time nanoplasmonic imaging of cell secretions——New nanoplasmonic imaging system allows spatiotemporal monitoring of single-cell secretions。Microscopy Light Microscopy ,13 April 2023供稿:符 斌,北京中实国金国际实验室能力验证研究有限公司
  • 【标准解读】透射电镜图像法测量多相体系中纳米颗粒粒径
    透射电子显微镜(TEM)具有原子水平的分辨能力,它不仅可以在观察样品微观形态,还可以对所观察区域的内部结构进行表征,成为纳米技术研究与发展不可或缺的工具。特别是TEM配合图像分析技术对多相体系中纳米颗粒粒度进行分析具有一定的优势。本文将对已实施的GB/T 42208-2022 《纳米技术 多相体系中纳米颗粒粒径测量透射电镜图像法》进行解读。多相体系是指体系内部不均匀的体系,在物理化学中也称为非均相体系、混相体系或者复相体系。而纳米颗粒受尺寸限制往往存在于材料基体中,形成多相体系来增加整个材料特性,这可能关系到后续产品的性能和安全性,因此对多相体系中纳米颗粒的评价尤为重要。透射电镜能作为最直观、准确的设备能够对样品内部进行评价,在多相体系中的纳米颗粒粒径表征中不可或缺。本标准从很大程度上完善和补充国内现有标准的不足,给出较为完整的多相体系中纳米颗粒粒径分析评价方法,不仅对于多相体系中纳米颗粒的粒径这种需要探讨体系内部的颗粒测量给出了方案,而且对于不同TEM的颗粒测量结果一致性评判具有重要的参考价值。本文件适用于固相多相体系中的粒径测量。考虑到多相体系的多样性,胶体和生物组织中的纳米颗粒,只要样品制备满足透射电子显微镜观察的要求,也适用本文件.一、背景纳米材料由于表面效应、量子尺寸效应、体积效应和量子隧道效应等,使材料表现出传统固体不具有的化学、电学、磁学、光学等特异性能。同时,受到尺寸的限制,纳米材料单独使用的场合有限,往往存在于材料基体中,形成多相体系来增加整个材料特性。但是由于纳米颗粒粒径较小、比表面积较大、表面能较大,极易团聚,致使其在多相体系中很难表征和评价。研究多相体系中纳米颗粒的粒度测量,对优化材料结构,改善材料的性能有着极大的促进作用,对推动纳米材料的应用和发展具有重要的意义。多相体系中纳米颗粒不同于单一的纳米颗粒,它对检测方法、样品处理及样品制备都有较高的要求。扫描电子显微镜和原子力显微镜由于成像原理的问题,不利于多相体系中纳米颗粒的测量。因此在本标准发布之前,国内该内容处于空白,本标准聚焦透射电镜的成像原理,对样品制备、图像获取、图像分析、结果表示、测量不确定度等技术内容给出了充分的、系统的说明。二、规范性引用文件和参考资料本标准在制定过程中,在符合GB/T1.1-2020《标准化工作导则 第1部分:标准的结构和编写》国家标准编写要求的基础上,充分参照了现行相关国家标准中的相关术语及技术内容的表述,包括颗粒系统术语、纳米材料术语、微束分析、粒度分析、纳米技术等各个专业领域;同时,在规范表达上,也充分征求了行业专家、资深从业者、用户的意见和建议,力求做到专业、通俗、易懂。 三、制定过程本标准涉及的领域较为专业,因此集合了国内相关领域的一批权威代表性机构合作完成。牵头单位为国家纳米科学中心,主要参加单位包括国标(北京)检验认证有限公司、北京市科学技术研究院分析测试研究所(北京市理化分析测试中心)、深圳市德方纳米科技股份有限公司、中国计量大学、北京粉体技术协会等。对于标准中的重要技术内容,如实验步骤、不同多相体系样品的制备方法、图像获取方式、图像分析、数据处理等均进行了实验验证,确定了标准中相关技术的操作可行性。四、适用范围本文件适用于固相多相体系中纳米颗粒的粒径测量和粒径分布。胶体和生物组织中的纳米颗粒,只要样品制备满足透射电子显微镜观察的要求,也适用本文件。 五、主要内容本标准描述了利用透射电子显微镜图像处理和分析技术进行纳米颗粒在多相体系中分散的粒径测量方法的全流程,包含了标准所涉及的术语和定义,TEM的成像原理,不同类型样品的制备方法,详尽的实验步骤,结果表示以及测量不确定度的来源,并在附录中针对不同的样品类型给出了实用案例。术语及定义:即包括了纳米颗粒、分散的术语定义,还包括了TEM中明场相、暗场像、扫描透射电子显微图像和高角环形暗场像等几种成像方式的定义。一般原理:利用透射电镜图像评估纳米颗粒在多相体系中的粒径测量,主要基于透射电子显微镜中电子束穿透样品成像的原理,并对图像进行处理,通常需要借助粒径分析软件进行粒径测量,以避免人为因素的干扰。样品制备:纳米颗粒在多相体系中的分散,由于多相体系材料不同,样品制备方法不同,系统的介绍了纳米复合材料的制备、多相固态金属材料的制备以及多相生物材料的制备方法,这包含了超薄切片技术、离子减薄技术、生物染色技术等。实验步骤:包含了装样、仪器准备、图像获取的全过程。需要注意的是根据多相体系材料及其中纳米颗粒的种类和状态的不同,在测试过程中要明确选用明场、暗场、高角环形暗场等合适的成像技术,并保证有足够清晰度和对比度的透射图像,能够准确识别到图像中的纳米颗粒。除此之外,为了使拍摄所得的图像中包含有足够的样品数量进行粒径测量,需要在不同的位置多次拍摄。具体的过程,本标准在附录A中以镍基高温合金多相体系中纳米颗粒为例,给出了详细过程。粒径测量:多相体系中的纳米颗粒的透射电子显微镜图像通常存在背景亮度不均匀、分散相边界与图像背景灰度差小的特点,因此需要图像处理将样品图像从背景中区分出来。总体目标是将数字显微照片从灰度图像转化为由离散颗粒和背景组成的二值化图像。重点采用阈值算法进行单个颗粒的测量。同时,颗粒粒径测量时测量颗粒数量对测量不确定的影响较大,因此需要确认最少测量颗粒数,这也取决于实际的测量需求。在结果表示方面,实验室可以根据实际需求,只评价纳米颗粒粒径的大小,也可以以纳米颗粒的分布范围为评价目标。在标准的附录中给出了两种分布范围方式。不确定度:对多相体系中纳米颗粒的粒径测量的测量不确定度主要来源包含了样品均匀性、样品制备、图像处理和测量所需的颗粒数不足等。在上述基础上,给出了测量报告的信息及内容。本文作者:常怀秋 高级工程师;国家纳米科学中心 技术发展部Email:changhq@nanoctr.c
  • QIAGEN发布QIAcuity Eight集成式纳米芯片数字PCR 系统新品
    QIAGEN 全新基于集成式纳米芯片的数字PCR 系统QIAcuity适用于对靶 DNA 或 RNA 分子进行绝对定量分析,兼容EvaGreen 或基于Taqman探针的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR 实验一般简单快速。QIAcuity Eight集成式纳米芯片数字PCR系统支持5色荧光系统,每次可运行八张芯片,8小时可完成多至1248个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 2plex——单芯片2色荧光数字PCR系统QIAcuity One 5plex——单芯片5色荧光数字PCR系统QIAcuity Four——四芯片5色荧光数字PCR系统 集成式设计,实验流程简便快速QIAcuity基于集成式纳米芯片技术,将dPCR的样本液滴制备、PCR和数据分析集成到全自动仪器中,在1.5小时内实现从样本到数据解读全过程。纳米芯片技术,全自动流程更容易QIAcuity采用创新性纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中液滴大小均一,无液滴破裂或融合。加样后的对芯片上的每个小孔密封,消除了交叉污染。三种规格芯片,通量更灵活 24孔芯片,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描芯片上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/ 细胞治疗 基因表达,miRNA 检测 NGS 文库定量 编辑基因检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器包含样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本液滴制备、PCR扩增和数据分析全部集成到一台自动化仪器中,只需将配置好的样本反应液加入到仪器中,即可实现后续过程,自动化程度有很大提升;2.独特创新的纳米芯片:纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中液滴大小均一,无液滴破裂融合或交叉污染;3.耗时短:PCR扩增结束后,与其他数字PCR扫描单个样品不同,QIAcuity自动同时扫描芯片上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器;4.芯片的通量灵活:可根据检测通量选择24/96样本芯片以及应用选择8,500/26,000微孔芯片QIAcuity Eight集成式纳米芯片数字PCR 系统
  • QIAGEN发布QIAcuity Four集成式纳米芯片数字PCR 系统新品
    QIAGEN 全新基于集成式纳米芯片的数字PCR 系统QIAcuity适用于对靶 DNA 或 RNA 分子进行绝对定量分析,兼容EvaGreen 或基于Taqman探针的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR 实验一般简单快速。QIAcuity Four 集成式纳米芯片数字PCR系统支持5色荧光系统,每次可运行四张芯片,2小时可完成多至384个样本检测。。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 2plex——单芯片2色荧光数字PCR系统QIAcuity One 5plex——单芯片5色荧光数字PCR系统QIAcuity Eight——八芯片5色荧光数字PCR系统 集成式设计,实验流程简便快速QIAcuity基于集成式纳米芯片技术,将dPCR的样本液滴制备、PCR和数据分析集成到全自动仪器中,在1.5小时内实现从样本到数据解读全过程。纳米芯片技术,全自动流程更容易QIAcuity采用创新性纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中液滴大小均一,无液滴破裂融合或交叉污染。加样后的对芯片上的每个小孔密封,消除了交叉污染。三种规格芯片,通量更灵活 24孔芯片,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描芯片上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/ 细胞治疗 基因表达,miRNA 检测 NGS 文库定量 编辑基因检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器包含样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本液滴制备、PCR扩增和数据分析全部集成到一台自动化仪器中,只需将配置好的样本反应液加入到仪器中,即可实现后续过程,自动化程度有很大提升;2.独特创新的纳米芯片:纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中液滴大小均一,无液滴破裂融合或交叉污染;3.耗时短:PCR扩增结束后,与其他数字PCR扫描单个样品不同,QIAcuity自动同时扫描芯片上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果;4.芯片的通量灵活:可根据检测通量选择24/96样本芯片以及应用选择8,500/26,000微孔芯片QIAcuity Four集成式纳米芯片数字PCR 系统
  • QIAGEN发布QIAcuity集成式纳米芯片数字PCR 系统新品
    QIAGEN全新基于集成式纳米芯片的数字PCR系统QIAcuity适用于对靶 DNA或 RNA分子进行绝对定量分析,兼容基于EvaGreen 染料法或探针法的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR实验一般简单快速。QIAcuity One 2plex集成式纳米芯片数字PCR系统支持2色荧光系统,每次可运行一张芯片,8小时可完成多至384个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 5plex——单芯片5色荧光数字PCR系统QIAcuity Four——四芯片5色荧光数字PCR系统QIAcuity Eight——八芯片5色荧光数字PCR系统 集成式设计,实验流程简便快速QIAcuity基于集成式纳米芯片技术,将数字PCR的样本液滴制备、扩增和数据分析集成到全自动仪器中,在2小时内实现从样本到数据解读全过程。纳米芯片技术,全自动流程更容易 QIAcuity创新性纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中的液滴大小均一,无液滴破裂融合或交叉污染。三种规格芯片,通量更灵活 24孔芯片,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔芯片,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描芯片上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/ 细胞治疗 基因表达,miRNA 检测 NGS 文库定量 基因编辑检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器包含样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本液滴制备、PCR扩增和数据分析全部集成到一台自动化仪器中,只需将配置好的样本反应液加入到仪器中,即可实现后续过程,自动化程度有很大提升。2.独特创新的纳米芯片:纳米芯片采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微流体芯片的纳米小孔中并对每个小孔独立密封。芯片技术可以做到物理分隔,保证分配到每个纳米小孔中液滴大小均一,无液滴破裂融合或交叉污染。3.耗时短:PCR扩增结束后,与其他数字PCR扫描单个样品不同,QIAcuity自动同时扫描芯片上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器。4.芯片的通量灵活:可根据检测通量选择24/96样本芯片以及应用选择8,500/26,000微孔芯片QIAcuity集成式纳米芯片数字PCR 系统
  • 荷兰delmic公司本月喜获两个重要订单-阴极发光系统
    2018年3月, 我们成功获得阴极发光系统SPARC的重要订单。在丹麦,联合TESCAN公司获得南丹麦大学(University of Southern Denmark)的订单。南丹麦大学使用我们的先进阴极发光系统,应用于纳米光子学的研究。纳米光子学(Nanophotonics)是研究光在纳米范围内行为的科学。它是光工程的一分支。它研究光学,光和粒子或物质在亚波长长度范围的相互作用。另外一台订单来自德国Braunschweig University of Technology,这套系统除了基本系统功能外, 还特别配置了time-resolved时间分辨功能,包含超快扫描相机。时间分辨阴极发光系统,是delmic今年最新发布的产品,全球领先。项目开发来自delmic公司、赛默飞FEI和Hamamatsu战略合作。
  • 世界上最高分辨率的光刻系统来了,可制造0.7纳米芯片
    9月21日,美国原子级精密制造工具的纳米技术公司Zyvex Labs发布公告,已推出世界上最高分辨率的光刻系统“ZyvexLitho1“,其使用电子束光刻技术,实现了768皮米(即0.768纳米)的原子级精密图案和亚纳米级分辨率。Zyvex Labs已经开始接受ZvyvexLitho1系统的订单,交货期约为6个月。EUV光刻机是当前先进制程的必备设备。荷兰阿斯麦(ASML)作为全球第一大光刻机设备商,同时也是全球唯一可提供EUV光刻机的设备商。在市调机构CINNO Research发布的2022年上半年全球上市公司半导体设备业务营收排名Top10报告中排名第二。Zyvex Labs此次推出的ZyvexLitho1光刻系统,基于STM扫描隧道显微镜,使用的是EBL电子束光刻方式,可以制造出了0.7纳米线宽的芯片,相当于2个硅原子的宽度,是当前制造精度最高的光刻系统。据悉,ZyvexLitho1光刻系统ZyvexLitho1的高精度光刻可以用于实验室阶段高端制程工艺的产品研发,是传统芯片制造所需光刻机的一个应用补充,主要可用于制造对于精度有较高要求的量子计算机的相关芯片,例如高精度的固态量子器件以及纳米器件及材料,对半导体产业的发展也具有巨大的促进作用。目前,Zyvex Labs已经开始接受订单,6个月内就可出货。对于这个新型光刻系统是否会威胁到EUV光刻的统治地位,赛迪顾问集成电路产业研究中心一级咨询专家池宪念表示:“短期内并不会“,他指出ZyvexLitho1是一种使用电子束曝光作为光刻方式的设备,与传统光刻机工作原理会有明显的差异。它是通过电子束改变光刻胶的溶解度,最后选择性地去除曝光或未曝光区域。它的优势在于可以绘制10纳米以下分辨率的自定义图案,是属于无掩模光刻直接写入的工作方式,精度远高于目前的传统光刻机。但是由于这类型设备的单个产品光刻的工作时间要在几小时到十几小时不等,工作效率方面还需进一步提高,因此不会快速取代EUV光刻机。
  • 利用荧光显微镜技术观察到世界上第一个完整的活细胞中分子的纳米级三维图像
    瑞典皇家理工学院的研究人员最近发表的研究表明,利用新的荧光显微镜技术,生成了世界上第一个完整的活细胞中分子的纳米级三维图像,显示了脑海马神经元中蛋白质的近分子尺度图像。这种技术被称为3-D pRESOLFT,可以在比电子显微镜更大的范围内观察蛋白质,可以在不杀死细胞和破坏切片的情况下实现它。在以往的荧光显微镜中,可见光照射到用荧光染料染色的细胞和组织,但该方法仅限于制作二维图像,通常分辨率较低。3-D pRESOLFT通过使用包含可切换的荧光染料的干涉图案的组合,可以像光开关那样一边切换接通和断开一边记录大量的平行图像。 整个样品暴露在少光下,防止样品褪色。研究人员发现,观察精度缩小到50纳米,比人类头发小20000倍,用这种正确的方法在三维空间观察活细胞的能力可以研究蛋白质是如何重要但鲜为人知的生理过程。
  • QIAGEN发布QIAcuity集成式纳米芯片数字PCR 系统新品
    QIAGEN全新基于纳米微孔板的一体化集成数字PCR系统QIAcuity适用于对靶DNA或RNA分子进行绝对定量分析,兼容基于EvaGreen染料法或探针法的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR实验一般简单快速。QIAcuity One 2plex一体化集成数字PCR系统支持2色荧光系统,每次可运行一块纳米微孔板,8小时可完成多至384个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 5plex——一块纳米微孔板5色荧光数字PCR系统QIAcuity Four——四块纳米微孔板5色荧光数字PCR系统QIAcuity Eight——八块纳米微孔板5色荧光数字PCR系统 一体化集成设计,实验流程简便快速QIAcuity基于纳米微孔板技术,将数字PCR的样本液滴制备、扩增和数据分析集成到全自动仪器中,在2小时内实现从样本到数据解读全过程。纳米微孔板技术,全自动流程更容易 QIAcuity创新性纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微孔板的纳米微孔中并对每个小孔独立密封。纳米微孔板技术可以做到物理分隔,保证分配到每个纳米微孔中的液滴大小均一,无液滴破裂融合或交叉污染。三种规格纳米微孔板,通量更灵活 24孔纳米微孔板,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描纳米微孔板上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/ 细胞治疗 基因表达,miRNA 检测 NGS 文库定量 基因编辑检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器需要使用样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本分区、PCR扩增和数据读取全部集成到一台自动化仪器中,只需将配置好的样本反应液加入纳米微孔板放到仪器中,即可实现后续实验全自动完成。2.创新专利纳米微孔板:纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入样本板的纳米小孔中并对每个小孔独立密封。微孔板技术可以做到物理分隔,保证分配到每个纳米小孔中反应液体积均一,无反应体系破裂融合或交叉污染。 3.耗时短:PCR扩增结束后,实验结果无需逐一读取,QIAcuity自动同时读取微孔板上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器。4. 多重荧光通道:可支持最高5色荧光检测通道满足更多靶标检测需求,同时含1通道参比荧光,监测有效微孔数量,帮助获得更精准的结果。5.样本通量灵活:可根据需求选择24/96样本微孔板以及应用选择8,500/26,000微孔板,配合不同QIAcuity平台灵活满足一次实验24-768个不同样本通量。QIAcuity集成式纳米芯片数字PCR 系统
  • QIAGEN发布QIAcuity Four集成式纳米芯片数字PCR 系统新品
    QIAGEN全新基于纳米微孔板的一体化集成数字PCR系统QIAcuity适用于对靶DNA或RNA分子进行绝对定量分析,兼容基于EvaGreen染料法或探针法的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR实验一般简单快速。QIAcuity Four一体化集成数字PCR系统支持5色荧光系统,每次可运行四块纳米微孔板,2小时可完成多至384个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 2plex——一块纳米微孔板双色荧光数字PCR系统QIAcuity One 5plex——一块纳米微孔板5色荧光数字PCR系统QIAcuity Eight——八块纳米微孔板5色荧光数字PCR系统 一体化集成设计,实验流程简便快速QIAcuity基于纳米微孔板技术,将数字PCR的样本液滴制备、扩增和数据分析集成到全自动仪器中,在2小时内实现从样本到数据解读全过程。纳米微孔板技术,全自动流程更容易QIAcuity创新性纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微孔板的纳米微孔中并对每个小孔独立密封。纳米微孔板技术可以做到物理分隔,保证分配到每个纳米微孔中的液滴大小均一,无液滴破裂融合或交叉污染。三种规格纳米微孔板,通量更灵活 24孔纳米微孔板,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等快速数据读取PCR扩增结束后,同时扫描纳米微孔板上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/细胞治疗 基因表达,miRNA 检测 NGS文库定量 基因编辑检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器需要使用样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本分区、PCR扩增和数据读取全部集成到一台自动化仪器中,只需将配置好的样本反应液加入纳米微孔板放到仪器中,即可实现后续实验全自动完成。2.创新专利纳米微孔板:纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入样本板的纳米小孔中并对每个小孔独立密封。微孔板技术可以做到物理分隔,保证分配到每个纳米小孔中反应液体积均一,无反应体系破裂融合或交叉污染。 3.耗时短:PCR扩增结束后,实验结果无需逐一读取,QIAcuity自动同时读取微孔板上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器。4. 多重荧光通道:可支持最高5色荧光检测通道满足更多靶标检测需求,同时含1通道参比荧光,监测有效微孔数量,帮助获得更精准的结果。5.样本通量灵活:可根据需求选择24/96样本微孔板以及应用选择8,500/26,000微孔板,配合不同QIAcuity平台灵活满足一次实验24-768个不同样本通量。QIAcuity Four集成式纳米芯片数字PCR 系统
  • QIAGEN发布QIAcuity Eight集成式纳米芯片数字PCR 系统新品
    QIAGEN全新基于纳米微孔板的一体化集成数字PCR系统QIAcuity适用于对靶DNA或RNA分子进行绝对定量分析,兼容基于EvaGreen染料法或探针法的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR实验一般简单快速。QIAcuity Eight一体化集成数字PCR系统支持5色荧光系统,每次可运行八块纳米微孔板,8小时可完成多至1248个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 2plex——一块纳米微孔板2色荧光数字PCR系统QIAcuity One 5plex——一块纳米微孔板5色荧光数字PCR系统QIAcuity Four——四块纳米微孔板5色荧光数字PCR系统 一体化集成设计,实验流程简便快速QIAcuity基于纳米微孔板技术,将数字PCR的样本液滴制备、扩增和数据分析集成到全自动仪器中,在2小时内实现从样本到数据解读全过程。纳米微孔板技术,全自动流程更容易QIAcuity创新性纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微孔板的纳米微孔中并对每个小孔独立密封。纳米微孔板技术可以做到物理分隔,保证分配到每个纳米微孔中的液滴大小均一,无液滴破裂融合或交叉污染。三种规格纳米微孔板,通量更灵活 24孔纳米微孔板,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描纳米微孔板上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP 分型 NGS 文库定量 转基因检测 基因/ 细胞治疗 基因表达,miRNA 检测 NGS 文库定量 基因编辑检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器需要使用样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本分区、PCR扩增和数据读取全部集成到一台自动化仪器中,只需将配置好的样本反应液加入纳米微孔板放到仪器中,即可实现后续实验全自动完成。2.创新专利纳米微孔板:纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入样本板的纳米小孔中并对每个小孔独立密封。微孔板技术可以做到物理分隔,保证分配到每个纳米小孔中反应液体积均一,无反应体系破裂融合或交叉污染。 3.耗时短:PCR扩增结束后,实验结果无需逐一读取,QIAcuity自动同时读取微孔板上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器。4. 多重荧光通道:可支持最高5色荧光检测通道满足更多靶标检测需求,同时含1通道参比荧光,监测有效微孔数量,帮助获得更精准的结果。5.样本通量灵活:可根据需求选择24/96样本微孔板以及应用选择8,500/26,000微孔板,配合不同QIAcuity平台灵活满足一次实验24-768个不同样本通量。QIAcuity Eight集成式纳米芯片数字PCR 系统
  • 2018第三届中美纳米医药研讨会,锘海生命科学精彩呈现
    2018第三届中美纳米医药研讨会,锘海生命科学精彩呈现2018年7月28-30日,第三届中美纳米学术会议在南京索菲特银河大酒店盛大召开,锘海生命科学携加拿大Nanosystems药物制造系统样机和分子影像产品作为重要的参展商参加了该学术会议,会议期间,众多老师与学生前来咨询,推动了纳米医学技术界专家、学者、企业家之间及与相关团体间的交流与合作。其中展出的产品包括加拿大PRECISION Nanosystems纳米药物制造系统、美国PhotoSound小动物全身3D光声/荧光成像系统、法国Vilber小动物荧光/生物发光成像系统、比利时Molecubes小动物PET/SPECT/CT成像系统、以色列Aspectimaging小动物MRI成像系统、法国RX solution离体CT成像、希腊BET solutions小动物平面型PET/SPECT成像系统.并提供纳米药物制造及活体成像等一系列解决方案。锘海生命科学的工作人员以良好的专业知识和素质赢得了老师们的众多好评,锘海在今后也依然会继续提供高质量的产品和服务。美国Spectradyne 纳米颗粒分析仪Spectradyne‘s nCS1为纳米粒快速定量测量提供了一个独特的平台。测量纳米粒时应用电学性质识别混悬介质中的粒子,而无需依赖其光学参数。该仪器可测量单个粒子并快速整合粒子尺寸、定量浓度以及Zeta电荷的统计数据。这一特殊性能将nCS1与市面上其他纳米分析仪区分开来。Photosound 小动物3D光声/荧光成像系统(PAFT)PAFT系统可同时实现近红外一区&近红外二区3D光声成像 (采用多光谱光声3D扫描系统提供670-2600 nm连续脉冲激光,每秒10次激光脉冲,光谱检测范围覆盖所有活体成像光学观测窗口)。具有100 um等向分辨率、高通量 (256个电子通道)、灵敏度高(60 nM ICG )、桌面式设计,方便使用、成像速度快 (完成一次3D扫描只需30秒)。 应用:肿瘤新生血管、肿瘤形态学、血氧饱和度、纳米材料的摄取和分布、药代动力学研究、生物分布、解剖学研究、脑补血管、动脉粥样硬化、组织生物学等。 比利时Molecubes 临床前成像PET/SPECT/CT PET系统结合了单晶闪烁体技术,采用硅光电倍增管、最新的光子计数技术以及基于GPU的事件定位技术和迭代法图像重建技术,能够实现亚毫米级别的图像分辨率。其5排扫描的构造在高通量的情况下,能够实现小鼠和大鼠高灵敏度全身成像。 SPECT系统拥有高分辨的探测器、获取专利的高孔准直器。其自主研发的图像重建软件能够实现快速成像并获得高质量的图像,可对所有常见的SPECT追踪的治疗和诊断标记成像。 CT系统能够在小鼠和大鼠的快速全身成像过程中以极低的剂量获得很高的软组织对比度。采用自屏蔽成像单元结构,能够实现门控和动态对比增强成像效果。 应用:药物研究(药物动力学/药效学/药物吸收分布)、蛋白表达研究、新陈代谢研究、基因表达研究、毒物学研究、灌注研究、细胞追踪、受体结合等领域。 以色列Aspect imaging MRI、PET/MRI系统 MRI系统是世界上紧凑型、高性能MRI系统的先驱者,可以实现小鼠、大鼠MRI成像,该系统提供了全面的临床解决方案研究(动物处理、生理监测及麻醉传输),量化疾病表达、监测疾病进展并评估疾病治疗效果。采用1T永磁场,操作简单、无运行维护成本、无需额外的基础设施来维持磁场。高性能,结构紧凑、永磁体、便于移动。 PET/MRI系统是全球首个用完整永久磁体/性价比最高的临床前PET/MRI一体成像系统。具有高质量图像、PET性能优越、安全紧凑,无磁场泄露维护成本低、无需制冷剂。 应用:解剖学、形态学成像,肿瘤研究、神经研究、造影剂成像等。 法国RX solution离体CT成像RX Solutions –半自动X-ray成像为用户带来最具价值的方案RX Solutions 最好的CT技术带来精确的测量&计量应用 § 为测量带来完全集成的CT扫描工作流§ § 无需额外工具§ 经过数据采集和重建后, VG Studio Max software使您能够处理的测量和检验各种插件 应用:一、学术研究:医疗&齿科、口腔科学 、矫形外科学 、材料科学、地质,石油&燃气、矿物&地矿、自然&生命科学;二、主要行业:汽车、电子、铸造、航空航天、塑料注塑、积层制造、珠宝;三、主要应用:度量、尺寸控制、逆向工程、零件检验、组装分析、失效分析、材料内部分析、壁厚分析。 法国Vilber NEWTON 7.0小动物荧光成像/生物发光系统8通道 LED激发光源,双磁控溅射镀膜的滤光片技术可以通过90%以上的光信号,非常窄的带宽可进行高效的光谱分离,从而增加检测灵敏度。检测光谱范围可以从400nm至900nm。满足GFP, YFP,Dyelight680, Cy5.5, Cy7等多种染料;配备7位滤光片轮双磁控溅射镀膜倒U型滤光片,最大程度降低杂散光。采用深度制冷CCD相机,-67℃低温,有效降低了背景噪点,暗电流仅有0.0002e/p/s,可以同时实现小动物荧光成像和生物发光。 应用:可视并追踪肿瘤的发育和疾病进展、信号重叠,多种荧光探针同时检测、体外或体内细胞迁移追踪、药物代谢&药物动力学研究、生物发光成像等。 Betsolutions小动物平面型PET/SPECT成像系统“β-eye”(PET)是 “eye”系列新成员,是一款适用于生物分子、纳米粒子分子成像的符合探测摄像机。γ-eye”(SPECT)是一款适用于放射性药物、放射性生物分子和纳米粒子的体内分子闪烁成像的γ摄像系统,特别适用于小鼠全身成像的台式系统,能够在用户选定的时间范围内进行动态或静态成像研究。 应用:识别探针在未知区域分布、确定最佳注射剂量和最佳分布时间、第一分钟内快速采集数据和成像、血液半衰期、药代动力学研究、实验前动物准备条件优化
  • AFSEM原位微区表征系统 助力新型纳米探针构筑及纳米热学成像研究
    获取材料甚至是器件整体的热学特性,是相关研究与开发当中非常有意义的课题。随着研究对象特征尺寸的不断减小,研究者们对具有高热学分辨率和高水平方向分辨率的表面温度表征方法以及与之相应的仪器的需求也日益显著。在诸多潜在的表征技术当中,扫描热学显微镜(Scanning Thermal Microscopy)是其中颇为有力的一种,它可以满足特征线度小于100 nm的研究需求。然而,这种表征方法,对纳米探针的结构及功能特性有比较高的要求,目前商用的几种纳米探针受限于各自的结构特点,均有一定的局限性而难以满足相应要求,也就限制了相应表征方法的发展与应用。着眼于上述问题,奥地利格拉茨技术大学的H. Plank团队提出了基于纳米热敏电阻的三维纳米探针,用于实现样品表面温度信息的超高分辨表征。相关成果于2019年六月发表在美国化学协会的期刊ACS Applied Materials & Interfaces上(ACS Appl. Mater. Interfaces, 2019, 11, 2522655-22667. Three-Dimensional Nanothermistors for Thermal Probing.)。 图1 三维热学纳米针的概念、结构、研究思路示意图 H. Plank等人提出的这种三维纳米探针的核心结构是一种多腿(multilegged)纳米桥(nanobridge)结构,它是利用聚焦离子束技术直接进行3D纳米打印而获得的,因而可以直接制作在(已经附有许多复杂微纳结构与微纳电路、电的)自感应悬臂梁上(self-sensing cantilever, SCL)。由于纳米桥的每一个分支的线度均小于100 nm,因而需要相应的表征策略与技术来系统分析其纳米力学、热学特性。为此,H. Plank研究团队次采用了有限元模拟与SEM辅助原位AFM(scanning electron microscopy-assisted in situ atomic force microscopy)测试相结合的策略来开展相应的研究工作,并由此推导出具有良好机械稳定性的三维纳米桥(垂直刚度达到50 N/m?1)的设计规则。此后,H. Plank引入了一种材料调控方法,可以有效提高悬臂梁微针的机械耐磨性,从而实现高扫描速度下的高质量AFM成像。后,H. Plank等人论证了这种新式三维纳米探针的电响应与温度之间的依赖关系呈现为负温度系数(?(0.75 ± 0.2) 10?3 K?1)关系,其探测率为30 ± 1 ms K?1,噪声水平在±0.5 K,从而证明了作者团队所提出概念和技术的应用潜力。 图2 三维热学纳米针的制备及基本电学特性 文中在进行三维纳米探针的力学特性及热学响应方面所进行的AFM实验中,采用了原位AFM技术,堪称一大亮点。研究所用的设备为奥地利GETec Microscopy公司生产的AFSEMTM系统,AFSEMTM系统基于自感应悬臂梁技术,因此不需要额外的激光器及四象限探测器,即可实现AFM的功能,从而能够方便地与市场上的各类光学显微镜、SEM、FIB设备集成,在各种狭小腔体中进行原位的AFM测试。此外,通过选择悬臂梁的不同功能型针,还可以在SEM或FIB系统的腔体中,原位对微纳结构进行磁学、力学、电学特性观测,大程度地满足研究者们对各类样品微区特性的表征需求。着眼于本文作者的研究需求来讲,比如探针纳米桥的分支在受力状态下的力学特性分析,只有利用原位的AFM表征技术,才可以同时获取定量化的力学信息以及形貌改变信息。当然,在真空环境下使用原位AFM系统表征微区的力、热、电、磁信息的意义远不止于操作方便或同时获取多种信息而已。以本文作者团队所关注的微区表面热学分析为例,当处于真空环境下时,由于没有减小热学信息成像分辨率的、基于对流的热量转移,因而可以充分发挥热学微纳针的潜能,探测到具有高水平分辨率的热学信息。 图3 利用AFSEM在SEM中原位观测nanobridge的力学特性 图4 将制备所得的新型纳米热学探针安装在AFSEM上,并在SEM中进行原位的形貌测量:a)SEM图像;b)AFM轮廓图像
  • QIAGEN发布QIAcuity One 5plex集成式纳米芯片数字PCR 系统新品
    QIAGEN全新基于纳米微孔板的一体化集成数字PCR系统QIAcuity适用于对靶DNA或RNA分子进行绝对定量分析,兼容基于EvaGreen染料法或探针法的检测。QIAcuity采用独特技术,使实验流程简化至如同qPCR实验一般简单快速。QIAcuity One 5plex一体化集成数字PCR系统支持5色荧光系统,每次可运行一块纳米微孔板,8小时可完成多至384个样本检测。QIAcuity有更多机型满足不同检测和运行通量的需求:QIAcuity One 2plex——一块纳米微孔板2色荧光数字PCR系统QIAcuity Four——四块纳米微孔板5色荧光数字PCR系统QIAcuity Eight——八块纳米微孔板5色荧光数字PCR系统 一体化集成设计,实验流程简便快速QIAcuity基于纳米微孔板技术,将数字PCR的样本液滴制备、扩增和数据分析集成到全自动仪器中,在2小时内实现从样本到数据解读全过程。纳米微孔板技术,全自动流程更容易QIAcuity创新性纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入微孔板的纳米微孔中并对每个小孔独立密封。纳米微孔板技术可以做到物理分隔,保证分配到每个纳米微孔中的液滴大小均一,无液滴破裂融合或交叉污染。三种规格纳米微孔板,通量更灵活 24孔纳米微孔板,每孔包含26,000微滴,适用于稀有突变检测、液体活检等 24孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 96孔纳米微孔板,每孔包含8,500微滴,适用于CNV检测、NGS文库定量等 快速数据读取PCR扩增结束后,同时扫描纳米微孔板上所有微孔中的信息,10分钟内即可获得96个样本中的信息,更快获得实验结果。 QIAcuity系统的应用领域 微生物分析或病原体检测 拷贝数变异 稀有靶标检测 标准品定量 SNP分型 NGS文库定量 转基因检测 基因/细胞治疗 基因表达,miRNA检测 NGS文库定量 基因编辑检测(CRISP/Cas9)创新点:1. 集成式一体化设计:与传统数字PCR仪器需要使用样本制备、PCR扩增、数据读取三台仪器不同,QIAcuity将样本分区、PCR扩增和数据读取全部集成到一台自动化仪器中,只需将配置好的样本反应液加入纳米微孔板放到仪器中,即可实现后续实验全自动完成。2.创新专利纳米微孔板:纳米微孔板采用微流体技术,配置好PCR反应体系后,仪器自动将样品压入样本板的纳米小孔中并对每个小孔独立密封。微孔板技术可以做到物理分隔,保证分配到每个纳米小孔中反应液体积均一,无反应体系破裂融合或交叉污染。 3.耗时短:PCR扩增结束后,实验结果无需逐一读取,QIAcuity自动同时读取微孔板上的所有微孔信息,可在10分钟内获得96个样本中的信息,更快获得实验结果。8小时工作时间可完成高达1248个样本检测,显著快于其他仪器。4. 多重荧光通道:可支持最高5色荧光检测通道满足更多靶标检测需求,同时含1通道参比荧光,监测有效微孔数量,帮助获得更精准的结果。5.样本通量灵活:可根据需求选择24/96样本微孔板以及应用选择8,500/26,000微孔板,配合不同QIAcuity平台灵活满足一次实验24-768个不同样本通量。QIAcuity One 5plex集成式纳米芯片数字PCR 系统
  • 文献速递|动物活体成像系统在纳米医学领域中的应用
    ● 快讯近日,同济大学附属东方医院乳腺肿瘤科主任董春燕教授课题组联合化学科学与工程学院石硕教授课题组开展了跨学科合作研究,证明纳米制剂可以用于三阴性乳腺癌(TNBC)的联合治疗,针对TNBC的多种治疗方式是一种创新的策略。相关研究成果已发表在国际知名期刊《Small》(IF: 13.3,JCR1区)。图1|国际知名期刊《Small》(IF: 13.3,JCR1区)传统的化疗具有肿瘤多药耐药性和非靶向毒性,不能显著改善TNBC的预后,且TNBC极具侵袭性和转移性,因此,迫切需要在TNBC治疗中寻找具有独特作用模式的治疗药物。铁下垂(Ferroptosis,又名铁死亡)是一种新的非凋亡性细胞死亡方式,由铁依赖的毒性过氧化脂质(Lipoid-ROS)积聚所致。由于其在杀死癌细胞方面的有效性,最近受到了广泛的关注,但是细胞内Fe2+含量不足严重影响了其效果。研究表明,谷胱甘肽过氧化物酶4(Gpx4)也可引起铁下垂。直接使用Gpx4抑制剂(如ML210)消耗谷胱甘肽,将使得Gpx4失活,最终引起过氧化脂质(LPO)大量生成,导致细胞铁死亡。博莱霉素(BLM)是一种糖肽类抗生素,与Fe2+等氧化还原活性金属离子结合后具有独特的抗癌活性,成为治疗多种人类恶性肿瘤的有效抗癌药物。然而其对正常组织的高毒性,尤其是对肺的毒性,使其在癌症治疗中的进一步临床应用仍具有极大的挑战性。为了更好的治疗TNBC,董春燕教授和石硕教授课题组跨学科合作研究,提出了多种治疗方式协同治疗TNBC的新策略。通过将单宁酸(TA)、BLM和Fe3+形成的金属-酚类网络与负载Gpx4抑制剂(ML210)的中空介孔普鲁士蓝(HMPB)纳米管混合,制备了HMPB/ML210@TA-BLM-Fe3+(HMTBF)纳米复合物,以促进TNBC的铁下垂/凋亡协同治疗作用。实验结果显示,HMTBF可以通过增强渗透性和滞留效应(EPR)有效地靶向肿瘤区域。肿瘤细胞内化后,TA介导的Fe3+/Fe2+转化可启动Fenton反应,使细胞内活性氧水平急剧上调,引起LPO积累,从而导致细胞铁死亡,同时释放的ML210能有效抑制Gpx4激活铁下垂途径的活性。此外,Fe2+与BLM的螯合作用导致BLM在肿瘤部位的原位毒化,进而触发肿瘤细胞的凋亡,与铁下垂协同治疗肿瘤。这些结果表明HMTBF纳米制剂可作为有效的铁下垂和凋亡诱导剂用于TNBC的联合治疗,对TNBC的治疗策略具有重要的参考意义!图2|实验方案示意图a)、HMTBF纳米复合物的制备b)和c)、肿瘤特异性ROS的产生、Gpx4抑制和BLM原位转变为活化的BLM用于协同铁下垂/凋亡TNBC治疗文章中,验证HMTBF在4T1荷瘤小鼠的生物分布和肿瘤靶向性活体实验成像,使用了博鹭腾AniView100多模式动物活体成像系统拍摄。尾静脉注射小鼠游离ICG及ICG-HMTBF,并在注射后不同时间段使用AniView100获得小鼠体内、解剖器官和肿瘤的荧光图像。结果显示ICG-HMTBF在肿瘤部位的荧光信号在注射2h后开始出现,注射12h后逐渐增强并达到最大值,并在注射24h后仍保持较强的荧光信号(图a,b),表明ICG-HMTBF在特定的肿瘤组织中蓄积增强,滞留时间延长。相对地,游离ICG在肿瘤部位只出现极弱的荧光信号,并且在12h内进一步减弱,表明非特异性分布的游离ICG可迅速从体内清除。体外荧光图像和半定量数据显示,肿瘤部位的荧光强度约为其他器官的3.7-162.2倍(图c,d),说明HMTBF对肿瘤组织有明显的富集作用。此外,HMTBF注射4h后在肿瘤内的分布为9.9%ID/g,注射12h后达最大值,为典型的EPR效应所致。同时,由于网状内皮系统的捕获,HMTBF也分布在肝脏和脾脏。图3|HMTBF的体内外分布情况a)、ICG和ICG-HMTBF静脉给药后在小鼠体内的分布情况,红色圆圈代表肿瘤b)、肿瘤组织在不同时间点的荧光强度c)、解剖器官和肿瘤在12h的典型荧光图像d)、半定量分析解剖的脏器和肿瘤组织在12h的荧光强度论文链接:1、https://doi.org/10.1002/smll.202103919
  • 文献速递ㅣ动物活体成像系统在纳米医学领域中的应用一
    全文字数:1852阅读时间:6分钟● 快讯近日,湘雅二医院药学部湖南省转化医学与创新药物工程技术研究中心向大雄教授团队在纳米医学领域取得系列研究成果,在国际知名期刊《Advanced Healthcare Materials》(IF=9.93,JCR1区)及《Journal of Controlled Release》(IF=9.77,JCR1区)上连续发表两篇研究性论文。两篇论文第一作者及通讯作者单位均为中南大学湘雅二医院,向大雄教授为通讯作者,团队2018级博士研究生吴军勇、2019级博士研究生李泳江为共同第一作者。文章一图1|国际知名期刊《Advanced Healthcare Materials》(IF=9.93,JCR1区)三阴性乳腺癌含有致密的肿瘤基质,是药物渗透和细胞毒性T淋巴细胞浸润的主要障碍,因此化疗和免疫治疗通常难以发挥作用。研究发现中性粒细胞弹性蛋白酶能快速破坏致密的细胞外基质,克服肿瘤基质屏障,使药物或免疫细胞进入肿瘤内部发挥作用。然而游离的弹性蛋白酶缺乏靶向性,因此向大雄教授团队开发了嵌合肿瘤细胞膜蛋白的仿生脂质体(LMP),并在表面结合弹性蛋白酶(NE-LMP),利用肿瘤细胞膜蛋白同源靶向及渗透与滞留效应(EPR)可以有效将NE靶向至小鼠原位乳腺癌内部并降解肿瘤基质。与紫杉醇及与PD-1免疫检查点抑制剂联合应用表现出显著增强的化学-免疫协同疗效,显著延长了小鼠的生存期。同时,这一联合应用策略还可以明显抑制肿瘤肺转移。文章中,标记DiR的NE-LMP在原位乳腺荷瘤小鼠中的生物分布和肿瘤靶向作用的活体实验成像,使用了广州博鹭腾AniView100多模式动物活体成像系统拍摄。活体结果显示DiR标记的NE-LMP在给药后很快到达肿瘤部位(2小时),并在8小时积累最多;体外器官结果显示DiR标记的NE-LP也到达肿瘤部位,但荧光强度不如DiR标记的NE-LMP,证明了NE-LMP的优越肿瘤靶向作用。图2|NE-LMP的生物分布(A) NE-LMP和NE-LP的体内生物分布和肿瘤靶向作用(B) NE-LMP和NE-LP的体外生物分布(C) 体外组织中荧光强度的量化目前上市用于临床的纳米载体大部分是脂质体,向大雄教授团队利用简单易制备的脂质体作为核心,表面嵌合特殊功能蛋白,这是一种“自下而上”的组装思路,具有前沿的创新性和实用性。图3|用于增强肿瘤化学免疫治疗的膜蛋白弹性蛋白酶结合仿生脂质体的制备示意图文章二图4|国际知名期刊《Advanced Healthcare Materials》(IF=9.93,JCR1区)多形性胶质母细胞瘤(GBM)是恶性程度最高的脑部肿瘤,目前缺乏有效的治疗方式,常规的化疗药物难以跨越血脑屏障(BBB)发挥作用。外泌体(Exos)是由细胞分泌,粒径在30-150nm的纳米囊泡,作为药物载体具有多种优势。脑微血管内皮细胞是BBB主要组成成分,其分泌的外泌体可以跨越BBB,用其载药可以将药物递送至脑内。然而,Exos提取纯化过程较为繁琐,产量较低,作为药物载体极大限制了应用。为了弥补这一缺陷,向大雄教授团队采用连续挤压细胞的方式生产仿生纳米囊泡(BNVs),其具有与Exos相似的粒径、外观和蛋白表达。本研究将Exos和BNVs进行深入比较,在脑部肿瘤的药物递送中进行了直接对比。结果表明,来源于脑微血管内皮细胞的BNVs是天然Exos的合格替代品。二者的载药能力相似,但BNVs的产率是Exos的500倍。携带阿霉素的天然Exos和BNVs在斑马鱼和体内皮下/原位异种移植小鼠肿瘤模型中表现出良好的抑瘤作用。文章中,评估和比较Exos和BNVs在小鼠肿瘤模型中脑肿瘤靶向能力的活体实验成像,使用了广州博鹭腾AniView100多模式动物活体成像系统拍摄。尾静脉对原位GBM小鼠注射给予DiR标记的Exos、BNVs或游离DiR,并在注射后6小时、12小时和24小时使用AniView100拍摄获得小鼠体内和体外器官荧光图像。结果显示DiR标记的Exos和BNVs在6小时达到GBM,并在24小时积累更多,而游离DiR在大脑中没有显示荧光信号,表明Exos和BNVs都可以突破BBB并靶向大脑中的肿瘤部位。图5|Exos和BNVs的生物分布和肿瘤靶向作用(A) Exos和BNVs在GBM小鼠中的体内生物分布(n=3)(B) Exos和BNVs在原位GBM小鼠中的体外生物分布(n=3)。H:心脏;S:脾;K:肾脏;B:大脑;GI:胃肠道(C) 原位GBM小鼠中Exos和BNVs的脑分布(n=3)鉴于自体来源的BNVs的低免疫原性、高产量等特性,可将其作为纳米医学中有效的Exos替代物,以克服Exos制剂研究过程中难以扩大生产的缺陷。图6|文章图形概要恶性肿瘤是严重危害人类健康的重大疾病,近年来。发病率和死亡率逐年上升,而临床常规的治疗方式(化疗、放疗、免疫治疗)特异性差,毒副作用较大,使用常受到限制。精心设计的纳米载体可以实现肿瘤的准确靶向,用以调控肿瘤的微环境或杀灭肿瘤细胞,达到减毒增效,然而常规的有机或无机纳米载体属于外源性材料,常引起机体的免疫响应,易被吞噬而失去效果。鉴于此,向大雄教授团队近年来着眼于仿生纳米递药系统研究,设计了一系列以外泌体、囊泡、细胞膜和蛋白等内源性材料为基础的纳米载体,实现了肿瘤的准确治疗。文献链接:https://doi.org/10.1016/j.jconrel.2021.07.004https://doi.org/10.1002/adhm.202100794博鹭腾助力科研实验广州博鹭腾作为一家专业从事光学成像设备研发与生产的高新技术企业,坚持为用户提供强大的图像处理技术、优质的产品设备和贴心的售后服务,为中国科研工作贡献一份力量。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制