当前位置: 仪器信息网 > 行业主题 > >

玻璃基板曝光设备

仪器信息网玻璃基板曝光设备专题为您提供2024年最新玻璃基板曝光设备价格报价、厂家品牌的相关信息, 包括玻璃基板曝光设备参数、型号等,不管是国产,还是进口品牌的玻璃基板曝光设备您都可以在这里找到。 除此之外,仪器信息网还免费为您整合玻璃基板曝光设备相关的耗材配件、试剂标物,还有玻璃基板曝光设备相关的最新资讯、资料,以及玻璃基板曝光设备相关的解决方案。

玻璃基板曝光设备相关的资讯

  • 韩企SKC美国工厂竣工,即将开始玻璃基板生产
    韩国SK集团旗下的半导体材料大厂SKC近日宣布,其美国子公司Absolics在佐治亚州投资约2.22亿美元建设的工厂已经竣工,开始批量生产玻璃基板原型产品。业界分析,这标志着全球玻璃基板市场进入关键时刻。目前全球玻璃基板生产企业包括英特尔、SKC、三星电机以及LG Innotek等。与传统树脂复合材料基板相比,玻璃基板不易形变、平整度高、互联密度更大、可提升能效,在高性能计算芯片面积加大的背景下,玻璃基板能够满足未来先进芯片的需求,因此被巨头看好。研究机构The Insight Partners预测,尽管玻璃基板技术尚处于起步阶段,但预计全球市场规模将从今年的2300万美元增长至2034年的42亿美元。SKC玻璃基板原型演示英特尔是最早宣布进军玻璃基板的厂商之一,此前曾表示计划于2026年推出方案,在2028年应用,并已经为此投资约10亿美元,在美国亚利桑那州工厂建立玻璃基板研发线和供应链。AMD也在加快该领域步伐,计划于2025~2026年推出玻璃基板,并与全球元件公司合作,保持领先地位。此外,三星电机将于2025年完成原型试产,并计划于2026年开始量产。与此同时,LG Innotek今年正在组建团队,为进军该市场作准备。
  • 投资1.75亿元 首家玻璃基板国家级实验室落户东旭
    &ldquo 平板显示玻璃技术和装备国家工程实验室&rdquo 近日正式成立。作为我国第一家玻璃基板国家级工程实验室,其总估算投资1.75亿元,承担单位为东旭集团有限公司,合作单位为北京工业大学、石家庄旭新光电科技有限公司。  据介绍,这是东旭集团迈出打造光电显示旗舰的重要一步,将进一步完善企业的工艺技术研究、产品开发、工业性模拟试验、应用技术以及产品试验等创新手段。其背后更重要的意义在于国家牵头布局,打造我国先进的基础研究、设计、开发、生产、应用及检测等技术平台,以打破国外垄断,促进国内信息产业的可持续发展。  我国目前正处于信息化高度发展的时代,作为国家重点培育和支持发展的战略性新兴产业,平板显示产业快速壮大,带动了上游产品玻璃基板需求的高速增长。但值得注意的是,我国实际从事玻璃基板生产的企业比较少,玻璃基板多依赖进口,技术和设备制造完全被美国康宁等国外四家公司垄断,他们限制技术外流、设置专利壁垒,独享高额利润。  &ldquo 近些年东旭集团坚持自主创新,在平板显示玻璃技术和装备重大项目研发方面取得了诸多突破,填补了国内液晶玻璃产业空白,走出了一条从技术研发到产业化,再到规模化的不断创新发展之路。&rdquo 东旭集团总裁李青说。
  • 英特尔、三星后,又一厂商或跟进玻璃基板技术
    封装解决方案的玻璃基板逐渐取代传统有机材料,因玻璃比有机材料薄,有更高强度,更耐用可靠及更高连结密度,能将更多的晶体管整合至单一封装。市场消息,英特尔与三星相继推出玻璃基板解决方案后,AMD也要2025~2026年推出玻璃基板芯片。据Wccftech报导,因市场潜在需求,包括英特尔、AMD、三星、LG Innotek等公司均表示有意进行玻璃基板的大量生产。英特尔是最早开发出玻璃基板解决方案的公司,因宣布整合至未来封装。英特尔也计划玻璃基板应用增加小芯片量产,减少碳足迹,还确保更快、更有效率的芯片性能。现阶段,英特尔计划在2026年开始大规模生产玻璃基板。英特尔在美国亚利桑那州建立了一个研究设施。而英特尔之后,下一个大型「潜在」玻璃基板供应商则可能会是韩国三星。目前,三星已经委托旗下的三星电机部门启动玻璃基板,及其在人工智能和其他新兴领域的潜在应用研究。另外,三星还预计将利用旗下显示部门进行相关研究发展,以确保未来在玻璃基板方面能透过协同合作的方式来生产。三星预计2026年开始大规模生产玻璃基板,而首先将于2024年9月先进行一条试产线测试。而就在多家企业准备进入玻璃基板的大量生产阶段情况下,市场消息指出,AMD将会整合市场上的玻璃基板供应商,进一步开始对各玻璃基板样品进行评估测试,以便能在2025~2026年开始进行采用玻璃基板的芯片生产。过去,曾经领先其他公司采用小芯片(Chiplet)设计,并且获得不错成绩的AMD,如今在采用这种先进半导体材料上,似乎走在了其他公司的前面。这对于AMD未来产品发展将会带来什么样的突破性优势,以及将在市场上掀起什么样的风潮,值得持续关注。
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad –Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plate COA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH)将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 科学家利用玻璃造出飞秒激光器
    科学家在玻璃基板上制造了千兆飞秒激光器。图片来源:瑞士洛桑联邦理工学院商业飞秒激光器是通过将光学元件及其安装座放置在基板上制造的,这需要对光学器件进行严格对准。那么,是否有可能完全用玻璃制造飞秒激光器?据最新一期《光学》杂志报道,瑞士洛桑联邦理工学院的科学家成功做到了这一点,其激光器大小不超过信用卡,且更容易对准。研究人员表示,由于玻璃的热膨胀比传统基板低,是一种稳定的材料,因此他们选择了玻璃作为衬底,并使用商用飞秒激光器在玻璃上蚀刻出特殊的凹槽,以便精确放置激光器的基本组件。即使在微米级的精密制造中,凹槽和部件本身也不够精确,无法达到激光质量的对准。换句话说,反射镜还没有完全对准,因此在这个阶段,他们的玻璃装置还不能作为激光器使用。于是,研究人员进一步设计蚀刻,使一个镜子位于一个带有微机械弯曲的凹槽中,凹槽在飞秒激光照射时局部可扭动镜子。通过这种方式对准镜子后,他们最终创造出稳定的、小规模的飞秒激光器。尽管尺寸很小,但该激光器的峰值功率约为1千瓦,发射脉冲的时间不到200飞秒,这个时间短到光都无法穿过人类的头发。这种通过激光与物质相互作用来永久对准自由空间光学元件的方法可扩展到各种光学电路,具有低至亚纳米级的极端对准分辨率。
  • 韩立:电子束曝光机是半导体制造的基础设备
    p style="text-align: justify text-indent: 2em "10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。/pp style="text-align: justify text-indent: 2em "会议期间,来自中国科学院电工研究所的韩立研究员做了《电子束曝光及相关技术的研究》的报告。/pp style="text-align: center text-indent: 0em "script src="https://p.bokecc.com/player?vid=7657F36C41DF1A879C33DC5901307461&siteid=D9180EE599D5BD46&autoStart=false&width=600&height=350&playerid=621F7722C6B7BD4E&playertype=1" type="text/javascript"/script/pp style="text-align: justify text-indent: 2em "据介绍,电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。/pp style="text-align: justify text-indent: 2em "韩立在报告中谈到,电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。/pp style="text-align: justify text-indent: 2em "电子光柱体主要作用是通过控制束斑、束流、加速电压、最小线宽、写场尺寸和扫描频率,来实现束斑小,亮度高,速度快的曝光。但这些参数控制往往相互矛盾,对此韩立介绍了电工所和日本电子的解决方案。/pp style="text-align: justify text-indent: 2em "图形发生器主要用于解决复杂图形控制难题,以提高扫描速率、生产率和图形复杂度。如果直接对曝光点位进行曝光,数据量太大而难以处理,因此需要将复杂的原始图形切割成基本图形,这样就能用简单的参数来实现控制。为保证控制精度,图形发生器从单束发展到多束,同时用激光束来补偿位置的偏移。/pp style="text-align: justify text-indent: 2em "激光工件台以平面镜激光干涉仪作为整个系统的测量基准,主要有光栅扫描和矢量扫描两种工作方式。工件台主要性能指标包括了加工精度、拼接精度和套刻精度,主要通过结合激光干涉仪来实现。/pp style="text-align: justify text-indent: 2em "目前,我国电子束曝光机严重依赖进口,但国外已禁止对中国出售最新型号的设备。对此,韩立结合在电工所多年的电子束曝光技术研发经历和应用推广情况,深入探讨了如何在电子束曝光机研制中取得突破,提出了自己的一些真知灼见。/p
  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是可以应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能可以使所有必要的校准和曝光方案互相配合广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构进行分析的所有用户。NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。MultibeamMultibeam Corporation总部位于加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,可以提高晶圆加工速度。Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是同时进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。JC Nabity自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司一直致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地越来越多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作. 为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还可以实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简便的电子束曝光系统。事实上,NPGS可以应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还没有其他扫描电镜电子束曝光系统可以像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。作为ASML的竞争对手,Mapper在2018年12月份被证实正式宣布破产,公司拥有270名员工和众多电子束光刻机相关的IP。Mapper曾经以为自己找到了半导体制造行业的痛点,无奈研发能力跟不上,最终错过了好时机。Mapper为了降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具有很高的分辨率、较大的焦深与灵活性。Mapper设备通过使用电子束书写而不再需要掩模,让芯片成本有望实现明显下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。IBM20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm2子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线条,束偏移±2.5mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主要问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。Zyvex LabZyvex Corporation 由 Jim Von Ehr 于 1997 年创立,旨在开发和商业化原子精密制造 (APM) 技术,以制造具有原子精密度的产品。2007 年 4 月,Zyvex Corporation 重组为三个独立的公司,以确保持续专注于产品:Zyvex Performance Materials LLC、Zyvex Instruments LLC 和 Zyvex Labs LLC。资产在三个公司之间分配,并为材料和仪器业务聘请了专门的管理人员。Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展中国科学院电工研究所由中国科学院电工研究所承担的中科院知识创新工程重大项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技和半导体前沿研究,满足我国科研机构和国防建设需要。 在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科技研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。中国电子科技集团公司第四十八研究所中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了重要作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。深圳量子科学与工程研究院深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。据了解,围绕核心关键技术研发,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已获得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。泽攸科技近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。整体来看,国际龙头企业在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 在屏幕保护玻璃上“写入”光栅,为智能手机增加光谱仪功能
    智能手机自1993年推出以来,已成为全球广泛使用并融入人们日常生活的电子设备。多年来,随着计算能力的提高,以及新的传感器及其功能的加持,智能手机集成平台不断发展。智能手机正在取代摄像机、照相机、闹钟、手表、全球定位系统(GPS)、日历、计算器、闪光灯等等过去常见的设备,变得像一台可以上网的小型计算机一样强大。新冠肺炎疫情期间的作用,也凸显了智能手机在快速向大范围人群分发应用的能力。光子学是丰富智能手机功能并提高其潜力的极具前景的技术。全球主要智能手机制造商已经将新的光子传感器集成到了一些最新款的高端产品上,例如,面向增强现实(AR)应用的激光雷达(LiDAR),或者用于采集实时血氧水平和心率的脉搏血氧计等。与此同时,许多研究小组正在积极利用现有板载传感器或开发新的传感器,在智能手机上创建新的功能。利用智能手机摄像头及算法的显微镜系统,已被证明可以计数白细胞或红细胞,以用于血样分析以及寄生虫、细菌和病毒的检测;还可以通过RGB摄像头评估蓝色和绿色光谱成分的比率来检测血糖水平;采用Mie扩散法还可以测量水的浊度水平;还有报道基于呼吸中酒精含量而造成的蒸发率差异的光学式酒精测试仪等。然而,这些新的功能通常需要添加占用空间的附加组件。对于尺寸敏感的智能手机来说,空间限制问题值得关注。为了解决这个问题,Lapointe等研究人员提出了在手机屏幕前作为保护层的750 μm厚的康宁大猩猩玻璃上蚀刻光子器件的想法。借助1030 nm飞秒(fs)激光直接写入,他们展示了在1550 nm波长0.053 dB/cm的低损耗单模波导。他们还展示了一种基于玻璃表面倏逝场相互作用损耗的折射率(RI)测量装置。Davis等研究人员在1996年介绍一种玻璃材料的飞秒激光功能化。该工艺利用多光子吸收或隧道电离等非线性效应来引起折射率的永久变化。折射率变化很大程度上取决于材料和写入条件,并受多种因素的叠加影响,例如色心形成、玻璃基质的结构变化或导致密度变化的热效应等等。在高重复率下还存在一种特殊的热积累机制,会导致较大的焦外折射率变化。继Lapointe等人的研究,研究人员对通过飞秒激光改性的保护玻璃层机械性能的完整性进行了研究,发现飞秒激光写入对玻璃强度的影响可以忽略不计。同一项研究表明,通过减少写入所需的光子数量(减少波长),折射率变化可以增加一个数量级。据麦姆斯咨询介绍,近期,加拿大蒙特利尔理工学院工程物理系的Jean-Sébastien Boisvert及其团队在Scientific Reports期刊上发表了一篇题为“Fs laser written volume Raman–Nath grating for integrated spectrometer on smartphone”的论文,研究人员首先展示了一种没有热量积累的新写入方式,可以实现具有正折射率变化的高分辨率精细写入点。正折射率变化对于波导写入特别重要,而小折射率变化区域,对于写入具有精细周期的光栅至关重要。正如研究人员在两种不同的玻璃中所展示的那样,这种机制并不局限于个别玻璃。智能手机集成光谱仪原理示意图在该研究中,飞秒激光写入采用了来自Light Conversion的8W Pharos激光系统,该系统具有250 fs脉冲长度。激光器被耦合到Orpheus OPA以将频率加倍,从原来的1030 nm到515 nm。利用50倍Olympus PLAN 0.65数值孔径(NA)显微镜物镜聚焦飞秒激光脉冲,并将样品置于由AEROTECH 3200控制器控制的3轴写入系统上。使用脉冲选择器来控制激光器的重复频率以节省脉冲能量。激光的偏振与写入方向平行。所使用的写入速度在0.1~100 mm/s之间,脉冲能量在82~825 nJ之间。用于写入的玻璃有两种类型:康宁大猩猩玻璃(一种用于保护多媒体屏幕设备的碱性铝硅酸盐玻璃)和钢化铝硅酸盐玻璃(来自Bodyguardz的一种通用屏幕保护玻璃层)。两种玻璃以101 kHz重复率不同写入速度时,飞秒激光曝光下诱导集成折射率剖面断层扫描变化的演变采用这种新颖的写入技术,研究人员展示了在智能手机摄像头前以拉曼纳斯机制运行的体相光栅(VRNG),以获得一种集成的智能手机光谱仪。其关键是产生一个弱VRNG,不会显著改变相机的传统功能,但在暴露于强光照射时会产生光谱。(a)写入钢化玻璃的VRNG,置于智能手机前置摄像头前;(b)如果没有明亮的光源,光栅不会影响相机拍摄的日光成像质量,但如果有明亮的光线靠近光栅或在弱光环境中拍摄则会出现衍射光谱在热积累范围之外,两种玻璃都发现了一种产生正折射率变化的新写入方式。对于这两种玻璃,都发现了这种无热累积写入机制的上限阈值,重复率分别小于150 kHz和101 kHz,光通量分别为8.7 × 106 J/m²和1.4 × 107 J/m²。将尺寸为0.5 × 3 mm²、间距为3 μm的弱VRNG放置在三星Galaxy S21 FE智能手机前,以使用第二衍射级记录光谱。该光谱仪覆盖了401-700 nm的可见光波段,探测器分辨率为0.4 nm/pixel,光学分辨率为3 nm。利用该光谱仪测定了水中有机激光染料Rhodamine 6G的浓度检测限为0.5 mg/L。这一概念验证为现场吸收光谱法快速收集信息铺平了道路。论文链接:https://doi.org/10.1038/s41598-023-40909-9
  • 投资630亿!京东8.6代OLED产线开建,已招标642台仪器设备曝光【清单】
    仪器信息网讯 近日,行业领军企业京东方在成都隆重举行了国内首条8.6代AMOLED生产线的奠基仪式。此次奠基的8.6代AMOLED生产线整体投资达630亿元,用于建设面板生产厂房、模组生产厂房、综合动力站、废水处理站、化学品库、特气车间、硅烷站、资源回收站、危废库等生产及公辅用房,总建筑面积为137.65万平方米。自2024年2月起,至2029年9月结束,分两期四阶段建成。建设完成后预计月产能3.2万片,年产38.4万片玻璃基板(玻璃基板尺寸2290毫米×2620毫米)。主要生产高端触控OLED显示屏,用于笔记本电脑、平板电脑等智能终端。该生产线的建设不仅标志着中国OLED显示产业正式迈入中尺寸发展的新阶段,还将对上下游产业链产生积极的带动作用,助力西南地区形成产业集群,为经济增长注入新的活力。仪器设备方面,京东方为新产线招标采购的仪器设备种类繁多,也体现了其生产线的高复杂性和技术密集性。据笔者统计,截至目前,其已经发布的仪器设备招标种类已达精密且多元化的28种,涉及的生产设备数量高达约642台/套。涵盖阵列、蒸镀工艺段相关仪器设备,如蒸镀工艺系统、涂胶显影机以及阵列扫描电镜检查机等检测仪器设备。这一庞大的设备阵容不仅彰显了京东方在半导体显示技术领域的深厚实力,也为其新产线的顺利投产和高效运行提供了坚实保障。详细招标设备情况请看下表:项目编号产品名称数量招标状态投标截止时间4197-244BOECDDT01/12掩模版&张紧机5&1台招标中2024/5/30 10:004197-244BOECDDT01/13掩模版入库检查机&掩模版图形光学检查机1&3台招标中4197-244BOECDDT01/14激光切线设备&掩模版手动修复机1&1台招标中4197-244BOECDDT01/15涂布机2套招标中2024/6/5 10:004197-244BOECDDT01/16涂胶显影机8&3套招标中4197-244BOECDDT01/17氢氟酸清洗机7套招标中4197-244BOECDDT01/18掩模版激光修复机4套招标中4197-244BOECDDT01/19阵列探针测试平台&阵列检查装置3套招标中4197-244BOECDDT01/20等离子体处理设备2套招标中4197-244BOECDDT01/21掩模版清洗机3套招标中4197-244BOECDDT01/22台阶/接触角测量仪3套招标中4197-244BOECDDT01/10等离子体增强化学气相沉积装置&无机膜成膜设备10&2&1台招标中2024/6/6 10:004197-244BOECDDT01/23有机膜成膜设备1套招标中4197-244BOECDDT01/24有机膜成膜设备1套招标中4197-244BOECDDT01/25飞行时间 二次离子质谱仪(静态)1套招标中4197-244BOECDDT01/26阵列扫描电镜检查机1套招标中4197-244BOECDDT01/04分子泵548套中标/4197-244BOECDDT01/03粒子计数器1套中标/4197-244BOECDDT01/02离子注入掺杂设备2套中标/4197-244BOECDDT01/01离子注入掺杂设备2套中标/4197-244BOECDDT01/09干法刻蚀机2&5套招标中2024/5/21 10:004197-244BOECDDT01/06蒸镀传送系统2套中标/4197-244BOECDDT01/07激光退火机5套中标/4197-244BOECDDT01/05蒸镀工艺系统2套中标/4197-244BOECDDT01/11激光剥离机2套招标中2024/5/21 10:004197-244BOECDDT01/08干法刻蚀机8套招标中2024/5/28 10:00技术工艺方面,京东方在这条生产线上采用了先进的低温多晶硅氧化物(LTPO)背板技术与叠层发光器件制备工艺,这些技术的应用将使得OLED屏幕在降低功耗、延长使用寿命方面取得显著进步。与此同时,新的生产线还能大幅提升中尺寸OLED产品的切割效率,从而有效降低生产成本,更好地满足市场对轻薄便携IT产品的需求。另外,数据显示,2023年,京东方柔性AMOLED出货量创下了历史新高,达到近1.2亿片,这一骄人的业绩不仅彰显了京东方在全球半导体显示市场的领先地位,也充分证明了其产品的卓越品质和广泛的市场认可度。与此同时,京东方还携手全球一线手机品牌合作推出了多款采用LTPO、折叠等高端技术的旗舰产品,这些产品的问世无疑为市场注入了新的活力。8.6代OLED产线硝烟四起在LCD及小尺寸OLED市场格局逐渐清晰的情况下,目标中大尺寸8.6代OLED线进展已经成了显示业界关注焦点,主流厂商们是否投资、何时投产、进展如何都将影响整个产业未来的竞争格局。记者采访多位厂商、机构人士了解到,目前用6代线来做中大尺寸的OLED虽然技术可行,但在成本方面仍有很大的改进空间;但8.6代线的建设存在投资巨大、设备供应有限等挑战,部分厂商对于8.6代线的投入仍呈谨慎态度。目标IT产品的中尺寸8.6代OLED产线的建设情况被视作影响显示市场未来格局的重要因子之一。目前,随着韩国和日本的LCD产能逐步退出市场,全球LCD面板的供应端主导权正在向中国转移,京东方、TCL华星等国产厂商在LCD领域的话语权逐渐增强;小尺寸OLED加速渗透,国产厂商京东方、TCL华星、维信诺及深天马均在上年挤进出货量前五。在此情况下,尚未被占领的中尺寸市场成为兵家必争之地。目前,尽管主流厂商均有应用于车载等场景的中尺寸OLED产品出货,但仍非主流产品。即使是AMOLED出货量国产第一的京东方,其证券部人士也告诉财联社记者,目前公司中尺寸出货占比“非常小”。这与OLED向中尺寸领域渗透存在一定难点有关。目前主流的6代线OLED主要是小尺寸,会用此世代线生产中尺寸产品,但是产能,技术,产线,价格都为大规模渗透造成阻碍。筹建8.6代OLED产线似乎成为解决问题的最好办法。目前,8.6代OLED产线军备赛已正式打响。国际显示巨头三星于去年4月宣布投资4.1万亿韩元(约合人民币215亿元)建设8.6代OLED面板产线,国内显示大厂京东方A紧接着于去年11月宣布计划投资630亿元建设第8.6代AMOLED生产线项目,今年一季度,上述两家厂商均举行了奠基仪式,项目正式开始推进。此外,韩媒 ZDNet Korea 二月份预计,另一显示大厂 LG Display 也将在下半年宣布 8.6 代 OLED 产线计划。在新的发展阶段,京东方将继续秉承“屏之物联”的发展战略,坚定不移地推进技术创新和深度融合。京东方的这一重大举措还将对上下游产业链产生深远的积极影响,随着生产线的建成投产,它将有力地带动原材料、设备制造、终端应用等相关产业的发展,从而形成一个庞大的产业集群。这不仅将促进西南地区的经济增长和产业升级,还将为中国显示产业的整体竞争力注入新的动力。
  • 全球首条在线低辐射镀膜超白超厚大板玻璃线建成
    近日,在海南中航特玻公司特玻生产基地,随着2号线15mm厚的在线低辐射镀膜(LOW-E)超白超厚玻璃在生产线下片装箱,全球首条在线LOW-E超白超厚玻璃线在我国诞生。  海南中航特玻技术研发团队在国际先进技术基础上,通过自主创新,将在线LOW-E大板的厚度从3mm、4mm、5mm、6mm、8mm增加至10mm 12mm,现在又成功地生产出15 mm超厚玻璃。这是世界当前最厚的在线LOW-E玻璃产品,也是海南中航特玻继研制出在线Low-E超白产品后取得的又一创新成果。在线Low-E超白超厚玻璃的面世,标志着我国玻璃行业技术已经居于国际领先水平,对进一步拓展国际国内建筑节能玻璃市场有着重要意义,更是我国玻璃行业为世界玻璃工业技术进步作出的新贡献。  据专家介绍,因为受到生产工艺技术的制约,在线Low-E镀膜玻璃厚片生产技术难度较高。在许多公共建筑和大部分高层楼房裙楼商业用房和大堂建筑装饰中需要大板面单片厚玻璃,因为离线Low-e玻璃存在脱膜的问题,所以,一直以来,国内外建筑师都只能在这些部位使用普通浮法玻璃厚片,以至于建筑效果和使用功能与建筑节能产生无解的矛盾。  而在线Low-E是在浮法线上700C镀膜固溶在玻璃体上,单片使用永不会发生膜层脱膜,15mm超厚玻璃既可满足荷载和抗风强度要求,又美观坚固,钢化加工性较强,其节能膜低辐射性能与玻璃同寿命,单片使用达到冬暖夏凉,保温隔热功效十分显著,在北方冬天大幅降低室内热能的浪费,在南方能够很好的起到隔热节能效果。  据统计,单片15mm在线LOW-E玻璃的传热系数比普通浮法玻璃传热系数低36%,比普通单片玻璃提高节能效率1/3,应用在建筑领域上,可节约大量的电力和煤炭资源消耗。不仅如此,这次海南中航特玻公司研发的新产品是在线超白厚板大尺寸Low-E玻璃,超白玻璃具有极高的透过率,可见光透过率可达92%,具有非常好的光学性能,可以更真实再现景观,是高端写字楼和豪华酒店建筑师和业主的梦想。  通过与在线Low-E膜层的结合,既可以保证超白玻璃原有较高的可见光透过率,满足室内采光要求与舒适度,减少室内照明用电,又具有低辐射功能,达到综合节能的效果。是满足通透性建筑型要求较高的关键材料, 如北方和滨海区建筑. 同时,由于超白玻璃对原料的严格要求及自身低铁特性,超白Low-E玻璃不会产生自爆现象。  用作大堂玻璃及幕墙玻璃时,由于抵抗风压和设计规范的要求必须采用钢化玻璃,而非超白钢化玻璃经常发生自爆,厚片普通钢化玻璃自爆的危险程度更高。因此,这一新技术还解决了困扰多年的建筑用钢化玻璃自爆的问题,这问题曾经是历年“两会“代表提案之一,一直受到社会各方面的高度关注.  中航三鑫股份有限公司旗下海南中航特玻材料有限公司,是海南省和中航工业国防新材料重点企业,也是我国资本市场新材料板块引人瞩目的企业。位于海南省老城经济开发区,在海南文昌拥有两座世界顶级品质砂矿。企业引进欧美国多项高端浮法玻璃生产制造专有技术,拥有世界最先进CVD在线镀膜生产技术和装备。公司建有4条600吨级的浮法玻璃生产线,采用全氧燃烧生产工艺并配有余热发电,生产的汽车玻璃原片、超薄电子玻璃原片、超白浮法玻璃、超白航空材料、高速列车玻璃,以及在线低辐射系列节能玻璃等,是我国高端玻璃制造领域的领军企业。  海南中航特玻公司2号线原是生产TCO太阳能基板玻璃。太阳能市场严重萎缩之后,企业通过技术创新,成功转型生产在线Low-E镀膜超白超厚玻璃。该产品为海南中航特玻进一步开辟国内国际市场提供了先机,也大大提高了企业的市场竞争力和经济效益。这条线完全可生产各种颜色和超白等各类在线低辐射系列3—15mm节能玻璃,也是全球第一条多品种高端节能玻璃制造生产线。目前,产品已通过国家玻璃质量监督检验中心的检验合格,性能指标完全满足国家标准《镀膜玻璃第二部分 低辐射镀膜玻璃》(GB18915.2-2002)的各项技术要求。这一优秀成果对于我国第二代浮法玻璃的研发创新,实现玻璃行业转型升级,发展资源节约型、环境友好型和优质高效型玻璃产业,使我国从玻璃大国向玻璃强国迈进,都有着十分重要的战略发展意义。
  • 瘦肉精再被曝光,我们离安全的餐桌究竟还有多远?
    3.15 晚会 曝光回顾 央视315晚会曝光青县瘦肉精羊肉问题 央视曝光后,沧州市委、市政府高度重视,迅速召开处置工作调度会,成立处置工作领导小组,立即责成农业农村、市场监管、gong安等部门连夜赶赴现场进行调查核实。目前,涉事企业负责人已被控制,对问题羊肉进行封存,正在追溯瘦肉精来源。 何为瘦肉精? 瘦肉精是一类药物的统称,任何能够抑制动物脂肪生成,促进瘦肉生长的物质都可以称为“瘦肉精”。能够实现此类功能的物质主要是一类叫做β-受体激动剂(也称β-xing奋剂)的药物,其中较常见的有盐酸克仑特罗、沙丁胺醇、莱克多巴胺 、硫酸沙丁胺醇、盐酸多巴胺、西马特罗和硫酸特布他林等。家畜摄入“瘦肉精”后能加速生长、提高瘦率、降低脂肪沉积、提高饲料报酬等,使用“瘦肉精”后会在动物组织内形成残留,消费者使用后直接危害身体健康。 我国在2002年就已经严禁瘦肉精作为兽药和饲料添加剂,但在畜牧业生产中“瘦肉精”的使用仍屡禁不止。 全面排查,严厉打击,月旭科技,应用支招。 月旭科技参照《农业部1063号公告-7-2008 饲料中8种β-受体激动剂的检测 气相色谱-质谱法》,对饲料中4种β-受体激动剂进行检测。 净化步骤 SPE柱:月旭Welchrom P-SCX小柱,规格60mg/3mL。活化:3mL甲醇,3mL水,弃去;上样:准确吸取2mL试样溶液加至小柱,弃去;淋洗:2mL乙酸溶液,3mL甲醇,弃去;洗脱:3mL洗脱液洗脱至10mL带盖玻璃试管衍生瓶中,压干;将洗脱液于40℃下氮吹至干。 衍生:在衍生瓶中加入甲苯100μL,衍生试剂100μL,充分涡旋混匀后,置70℃烘箱中,反应1h。冷却至室温后上机测定。 色谱条件 色谱柱:WM-5MS,30m×0.25mm×0.25μm。进样口温度:250℃;升温程序:100℃,保持1min,以10℃/min升温至280℃,保持4min;载气:高纯氮气(纯度99.999%);进样方式:不分流进样;恒流模式:1.0 mL/min;进样量:1μL。 质谱条件 电离方式:电子鸿轰击电离源(ESI);电离能量:70eV;传输线温度:280℃;离子源温度:230℃;四极杆温度:150℃;监测方式:马布特罗:277.1/204.0/296.0/311.1;克伦特罗:262.0/212.0/243.0/277.0;沙丁胺醇:369.2/73.0/116.0/203.0;班布特罗:354.2/282.1/309.1/439.3;溶剂延迟:9min。 色谱图或加标回收率结果
  • 我国玻璃行业首个国家重点实验室通过验收
    p  依托蚌埠玻璃工业设计研究院和中国洛阳浮法玻璃集团有限责任公司建设的浮法玻璃新技术国家重点实验室7月底通过了由国家科技部组织的建设验收。以中国工程院副院长徐德龙院士为组长的验收专家组一致认为,该实验室超额完成了建设计划任务,研究方向正确,重点突出,成果丰硕,特色鲜明,实现了建设目标。/pp  “浮法玻璃新技术国家重点实验室是玻璃行业首个国家重点实验室,主要解决国家经济社会发展中所需的玻璃新技术领域的重大关键课题,引领玻璃行业科技发展方向。”验收专家组表示。/pp  据介绍,实验室自国家科技部批准建设以来,蚌埠玻璃工业设计研究院等依托单位投入近亿元,新增设备77台套,新增实验室面积8000平方米,建立了相应的规章制度和运行机制,紧密围绕高品质浮法玻璃技术、节能减排技术、玻璃功能膜材料设计和镀制技术、玻璃新材料4个方向,开展了前沿、共性、重大关键技术研究,在电子信息显示用超薄玻璃、光伏玻璃、超白超薄玻璃、玻璃节能减排技术等方面取得一系列重大创新成果,居国际前沿水平,获国家科技进步二等奖2项,省部级科技类奖8项,发表论文44篇,授权发明专利45件,拥有研究人员84名,其中国家“千人计划”3名,博士11名,硕士27名。/pp  验收专家组认真审阅了实验室的建设计划任务书和建设验收申请报告,出具了验收意见,并建议进一步凝炼研究方向,进一步加强用窑炉尾气预热原料技术的研发,提升实验室发展目标,原创性地开发出具有中国自主知识产权的新一代浮法玻璃技术,努力建成引领行业进步的国际一流实验室。/pp  与会人员还实地考察了实验室,参观了以实验室研发的科研成果成功产业化的案例——电子信息显示超薄玻璃基板生产线。/pp/p
  • TESCAN 正式发布一款全新的用于SEM和FIB-SEM系统中电子束曝光(EBL)的软硬件解决方案
    TESCAN Essence™ EBL套件包含软件模块,可通过Essence™ 电镜控制软件直接控制电子束曝光(EBL)工艺,从而高效地实现微米和纳米级结构与器件的原型设计。 2021年1月29日,TESCAN ORSAY HOLDING a.s. 正式发布 EssenceTM EBL(电子束曝光)套件,这是一款完全集成的专用的解决方案,可以配置在TESCAN SEM和FIB-SEM仪器上,它与TESCAN的快速静电束闸配合使用,为实验室研究提供了更多的可能,在满足对微米和纳米级结构及器件进行原型设计的同时,还可以实现SEM和FIB-SEM的成像及分析功能。 电子束曝光是一种使用聚焦电子束在覆盖有电子敏感膜(抗蚀剂)的表面上绘制图案的技术。该技术在原型制作中很常见,可在各种基板上制备具有特定形状、尺寸和材料成分的微结构和纳米结构,例如传感器、光子、等离子体、自旋电子器件、MEMS、微流体以及用于细胞生长的表面。 TESCAN TOF-SIMS及纳米成型方向应用产品经理Tomáš Šamořil 曾说:“在大学或其它机构的小型科研团队中,仪器通常由多个团队共享,因此功能多样性、易用性和可靠性是购买新设备时需要考虑的主要问题,通过将SEM或FIB-SEM的功能扩展到中级电子束曝光应用,就能够满足表征和原型制作的需求。”在SEM或FIB-SEM上增加电子束曝光技术后,研究人员可以充分利用这一平台的分析能力,在完成曝光过程后快速验证结构、尺寸或材料组成。电子束曝光(EBL)与聚焦离子束(FIB)和气体注入系统(GIS)技术提供的精密材料刻蚀,已被证明是一种强大的组合,可用于光子学、光学、等离子体、磁学、生物学及电子学中微米和纳米器件快速原型设计。 TESCAN EssenceTM EBL套件包括软件模块,该模块完全集成在TESCAN的EssenceTM电镜控制软件中,并使用开源的第三方图案编辑器KLayout执行所有必需的光刻步骤。这样使操作变得非常容易,简化了混合技术的设计过程,无需进行复杂的数据处理。欲了解TESCAN EssenceTM EBL 详情,请点击此处。
  • 显示玻璃:打开世界的“多彩视窗”
    0.12毫米,一张A4纸的厚度,这是玻璃吗?  1000多项技术瓶颈,逐一突破!2018年,这个厚度创造了世界最薄触控玻璃的纪录!  当这块玻璃被轻轻地弯曲成一道彩虹状时,中国工程院院士、中国建材集团总工程师彭寿的演示,让周围人惊叹不已!  可别小看随处可见的玻璃,它已有6000多年历史。过去数百年中,玻璃支撑了显微镜、望远镜、试管的诞生,掀起世界光学和生物技术革命,推动人类科技进步。  进入21世纪,随着玻璃组分、制备工艺等的不断创新,玻璃成为广泛应用于信息显示、新能源、生物医药、航空航天、深海探测等新兴领域的关键功能材料。  今天,显示玻璃,更是我们每个人都离不开的“神器”。当指尖在手机触摸屏上自由滑动,世界和远方,便在你眼前;这触碰,仿佛打开一扇“多彩视窗”。  触摸屏越薄,用户体验越炫酷。“这是我们运用浮法玻璃生产工艺,也就是熔融的玻璃液自由流淌到锡液上进行展薄、拉伸的成形方法。”彭寿介绍,在突破原料提纯、玻璃组分及配方、新型熔化、超薄成形等系列技术瓶颈后,我国拥有了这一技术的自主知识产权,创造了浮法技术工业化生产的世界最薄玻璃纪录。  既然超薄玻璃能卷曲,那么能否像A4纸一样近乎折叠呢?彭寿在思考、探索。  2020年,彭寿和他的团队在国内率先开发出30微米柔性可折叠玻璃,再创一项中国第一、世界领先的成果,形成了全国产化超薄柔性玻璃产业链。  30微米,也就是0.03毫米,这是目前工业化最薄的可折叠玻璃!日夜不休的弯折测试,折叠100万次后没有一丝裂纹!  “这一成果解决了关键原材料领域的‘卡脖子’技术难题,保障了信息显示供应链和产业链安全。”彭寿说,柔性可折叠玻璃,因其极薄、柔韧性强、耐用性高和出色的折痕控制等特点,成为折叠屏手机盖板玻璃的首选。还有液晶电脑、液晶电视、车载显示屏,玻璃同样在“大显身手”。  其实,每块显示屏背后,都有3种显示玻璃作为支撑并发挥不同作用——由上往下分别为高强盖板玻璃、超薄触控玻璃以及显示玻璃基板。其中,第三层显示玻璃基板是新型显示产业的核心材料,也是显示终端屏幕的重要组成部分,被誉为玻璃领域“皇冠上的明珠”。  “下一步,我们要把显示玻璃向大尺寸化、复合化、功能化方向发展,我们希望研发出10微米的极薄玻璃,作为半导体、柔性太阳能电池等领域的新型基底材料,其应用前景会更加广阔。”彭寿团队开始攻克下一个目标。
  • 挠性覆铜板铜箔的剥离强度试验
    摘 要:本文介绍使用鲲鹏BOYI 2025电子万能材料试验机,配合500N气动双推拉伸夹具及挠性覆铜板剥离夹具,根据《IPC-TM-650试验方法手册》第2.4.9节-1. 柔性介电材料的覆盖铜的剥离强度,进行了挠性覆铜板的剥离试验的实例,通过剥离强度表征覆铜层与基材的粘合强度,试验结果表明,使用鲲鹏BOYI 2025电子万能材料试验机能够完全对应挠性覆铜板的剥离试验。 关键词:鲲鹏BOYI 2025电子万能材料试验机 挠性覆铜板 柔性介电材料 剥离试验挠性覆铜板(FPC)是以聚酰亚胺或聚酯薄膜为基材制成的一种具有高度可靠性,绝佳的可挠性印刷电路板。FPC又被称为软性电路板、挠性电路板。FPC通过在可弯曲的轻薄塑料片上,嵌入电路设计,使在窄小和有限空间中堆嵌大量精密元件,从而形成可弯曲的挠性电路。此种电路可随意弯曲、折迭重量轻,体积小,散热性好,安装方便,冲破了传统的互连技术。在柔性电路的结构中,组成的材料有绝缘薄膜、导体和粘接剂。其中胶粘剂的一个重要作用就是将绝缘薄膜与导电材料粘接在一起,粘贴的好坏将影响挠性覆铜板的可靠性以及使用寿命,所以粘合强度的测试对挠性覆铜板显得十分必要,而粘合强度则通过剥离强度表征,本应用介绍了挠性覆铜板的剥离强度试验。鲲鹏试验机配备的气动双推拉伸夹具以及挠性覆铜板剥离夹具,可以完全满足标准的要求,气动双推夹具可以快速的夹持样品,提高测试的效率;而挠性覆铜板剥离夹具则是为此类试验专门开发的,具有精度高,阻力小,角度限位准确等优势,可以确保剥离测试过程中的平稳以及角度保持,确保结果准确,除夹具外,试验机主机的高精度以及超过1000HZ的采集频率,可以完整的记录剥离过程中的所有特征数据,给用户提供准确可靠的试验数据,配合智能化的测试软件可以同时提供单试样、多试样、双坐标等各种测试曲线,让不同的用户均可以拥有良好的交互体验,为企业的研发、质量以及产品控制保驾护航。1. 实验部分1.1仪器与夹具BOYI 2025-001 电子万能试验机500N气动双推拉伸夹具挠性覆铜板剥离夹具 Smartest软件1.2分析条件试验温度:室温20℃左右载荷传感器:1000N(0.5级) 加载试验速率:50.8mm/min1.3样品及处理本次试验,选取固定粘合宽度为3.00mm的试样,且铜箔表面采用专用胶带进行加强。预先将试样剥离一定距离,剥离端应保证铜箔与胶带黏贴良好,避免出现分成或边缘破损,确保在剥离过程中不会出现断裂情况,同时保证剥离端长度足够,可以被上夹具充分夹持,剥离试验要求试验机夹具夹持端始终与基板保持垂直进行剥离。2试验介绍使用BOYI 2025-001电子万能试验机进行试验,将样品的薄膜层背面通过双面胶,粘贴在剥离夹具的旋转鼓上,铜箔夹在上夹具中,二者成垂直剥离状态,如下(图1)所示。以50.8mm/min的速率进行试验。测量剥离过程中的力以及位移数据,取剥离状态过程中的平均剥离力,得到剥离力并计算剥离强度数据(表1),并生成剥离曲线(图2~3)。图1 测试系统图(主机、夹具)3.结果与结论3.1试验结果 试验后,试样剥离测试的载荷-位移曲线见(图2~3),剥离过程中,细微的力值波动信号被主机捕获,形成稳定的剥离曲线,利用测试软件,可以在在曲线上获取载荷以及位移等数据,并且获取平均剥离强度。具体试验结果如下(表1)。图2 剥离曲线图(多试样) 单试样 局部放大 图3 剥离曲线图(单试样) 图4 试样剥离状态表1.测试结果试样编号剥离强度(N/mm)1#0.912#0.913#0.964#0.925#0.95平均值0.93 从上(表1)数据以及剥离后试样状态可以看出,整个测试过程中,试样剥离状态平稳,波动非常小,无异常剥离现象, 5个试样结果平均值非常接近,最大值与最小值相差在0.2N/mm以内。从本次试验结果可以体现出鲲鹏BOYI 2025-001 电子万能试验机的高精度及高稳定性。4.结论 综上所述,鲲鹏BOYI 2025-001 电子万能试验机、500N气动双推拉伸夹具及挠性覆铜板剥离夹具,可以完全满足《IPC-TM-650试验方法手册》第2.4.9节1-柔性介电材料的覆盖铜的剥离强度标准要求,高效高质完成试验。通过高精度高采样率的测试系统,可以获得覆铜板的各项力学数据,且稳定可靠,这对于挠性覆铜板产业的技术发展非常重要,能够为企业的产品研发、品质管理,以及该行业的标准化、规范化提供数据支持与技术保障。
  • 御微半导体:首台掩模基板缺陷检测产品交付国内先进掩模厂
    5月12日,御微首台掩模基板缺陷检测产品Halo-100在御微合肥成功发运,并顺利交付国内先进掩模厂。御微半导体官方消息显示,其Halo-100设备是御微“掩模全生命周期质量控制”产品线的第二款产品,以高精度光学系统、高稳定性运动台系统以及高洁净度环控与传输系统为基础,结合御微半导体专有的算法和软件系统,实现了针对掩模基板(blank)缺陷检测的需求,并将掩模检测的应用领域拓展至掩模厂来料检和掩模基板厂全制程控制检。据介绍,在掩模基板厂中,Halo-100设备可以运用在玻璃基板来料检、多层镀膜过程检和成品出货检等环节,助力客户在每个制程节点监测洁净度情况。
  • 岛津多机种蓄势待发,玻璃检测方案乘“新”而来
    中国是玻璃生产大国,产量大、品种多。改革开放后,我国玻璃企业通过技术自主研发,拉开了行业快速发展的序幕,逐步打破国外垄断,不但取代进口,而且开始走出国门。目前,中国玻璃制品业已发展成产品较为齐全的工业部门,尤其是中国浮法技术的推广应用和不断发展提高,使我国平板玻璃工业的面貌为之一新。浮法技术所形成的先进生产力已经成为当代中国玻璃工业的主体,同时也迎来了中国玻璃大企业崛起的时代,产能、产量、出口量、从业人员等多项指标不断刷新纪录。玻璃行业检测的春天已来临,岛津多机种在玻璃检测中蓄势待发。在平板玻璃(如家具玻璃)、日用玻璃(如钠钙硅玻璃容器)、医用玻璃(如药用玻璃瓶)、光学玻璃(如手机触屏)、化工玻璃(如化学试剂瓶)、建筑玻璃(如家居玻璃)、光伏玻璃(如光伏盖板玻璃)、工艺玻璃(如玻璃球)、工程玻璃(如工程玻璃纤维)等领域,从玻璃原料及玻璃制品的主次成分分析,到玻璃制品的光学性能及力学性能分析;从玻璃中的重金属及有害元素分析,到玻璃工业污染物排放及大气污染物排放的分析,岛津都给出了多机种搭配的整体解决应用方案。 法规解读从玻璃原料成分分析及微量元素分析的方法标准,到制成品的化学性能、力学性能、光学性能的检测方法标准,从玻璃中的重金属及有害元素的限制标准,到对玻璃工业污染物及大气污染物的排放规范化标准,无一不促进玻璃工业的技术进步及可持续发展。 玻璃中重金属及大气污染物排放主要标准 应对方案内容丰富多彩检测方法新颖独特玻璃检测涉及EDX、XRF/MXF、ICP、AAS、EPMA、UV、IR、AGX、HMV、GCMS、HIC等十几个机种,每个机种个性独特,在玻璃检测领域搭配默契又各显神通。 针对玻璃原材料成分、制品成分及其重金属有害元素、玻璃制品的光学及力学性能、玻璃行业有害元素及大气污染物排放等,岛津分析中心特编写了《玻璃检测整体解决方案》。 1、玻璃原材料主次成分及杂质元素含量检测• X射线光谱法测定硅石中的杂质元素• X射线荧光光谱法测定石灰石中主次成分的含量• X射线荧光光谱法测定镁质耐火材料• X射线荧光光谱法分析铝质耐火材料• X射线荧光光谱法分析硅质耐火材料• EDX-8000真空条件分析高铝耐火材料中各元素含量• ICP-AES法测定石英砂岩中的常微量元素含量• ICPE-9820测定玻璃、釉料及其原料中氧化物的含量• ICP-AES法测定灰岩矿石中的氧化钙及其它常微量元素含量• 偏硼酸锂碱熔-ICP-AES法测定石灰岩中硅酸盐相的主成分• 空气-乙炔火焰发射法测定玻璃粉末中钡的含量 2、玻璃制品主次成分及杂质元素含量检测• X射线荧光光谱分析钠钙硅玻璃中的多元素含量• X荧光在玻璃行业的分析应用• X荧光光谱法测定液晶玻璃基板中元素含量• 波长色散X射线荧光光谱仪在法庭科学玻璃物证中的分析应用• 多层CIGS太阳能玻璃镀膜的XRF分析• 能量色散型X射线荧光分析玻璃的成分• 硅酸盐玻璃的岛津电子探针定量分析• 红外光谱法测定石英灯管中的羟基含量• 玻璃条纹缺陷的SPM-EPMA分析• SPM & EPMA技术用于玻璃表面气泡分析 3、玻璃制品光学性能及力学性能检测• 分光光度法测定医用护目镜透射比• 玻璃表面强度评价• 手机外屏玻璃四点弯曲试验• 医用硼硅玻璃安瓿瓶折断力试验• 中空玻璃球压缩试验• 玻璃纤维增强塑料的三点弯曲试验• 玻璃纤维PCB基板的拉伸试验 4、玻璃中重金属检测及大气污染物排放检测• 包装材料中有害元素的X射线荧光筛选分析• ICPMS-2030测定玻璃药包材中浸出金属元素含量• ICP-AES法测定空气细颗粒物中的有害元素• 大气悬浮颗粒物(PM)中无机元素的 X 射线荧光分析方法• GC-MS/MS法测定PM2.5大气颗粒物中16种邻苯二甲酸酯含量• 离子色谱法测定环境空气中氯化氢的含量• 离子色谱法检测空气细颗粒物中六种阴离子• 挥发性有机物在线检测系统 特色应用抢先看方案一 X射线荧光光谱分析钠钙硅玻璃中的多元素含量 精度试验表1 钠钙硅玻璃粉样方法精度试验结果(%)说明:参考值为按照GB/T 1347-2008《钠钙硅玻璃化学分析方法》测试结果。 方案二 玻璃表面强度评价 试验加载过程试验加载过程 由于使用了透明胶带粘在负载环上,当玻璃爆裂的一瞬间裂纹的形成被清楚地观察到。可以发现,在环弯曲加载的过程中断裂是开始与玻璃中间位置,并向外部延伸。 试验结果曲线载荷-行程曲线 岛津公司AGS-X配套的TRAPEZIUMX软件编辑公式并计算出相应的环弯曲强度。其平均环弯曲强度为144MPa。 方案三 ICPMS-2030测定玻璃药包材中浸出金属元素含量 部分元素质量轮廓图 “诊断助手”可根据各元素的质量灵敏度、等效背景浓度、干扰情况等因素综合判断,对结果做出正确判断,并给出相应的诊断依据,大大提高分析效率及分析结果的准确性。 样品分析结果及检出限 表2 玻璃药包材料可迁移元素分析结果注:N.D. 表示未检出。 参考YBB00172005-2015《药用玻璃砷、锑、铅、镉浸出量限度》,使用岛津ICPMS-2030测定药用玻璃中7种可迁移元素含量。分析速度快,操作简单,灵敏度高,检出限低,精密度好,加标回收率高。 撰稿人:唐国轩 *本文内容非商业广告,仅供专业人士参考。
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 因设备老化等问题,掩模版缺货愈演愈烈
    成熟节点对芯片的需求激增,加上这些几何形状的光掩模(也称光罩)制造设备老化,正在引起整个供应链的重大担忧。这些问题直到最近才开始浮出水面,但对于对芯片生产至关重要的光掩模来说,它们尤其令人担忧。28nm及以上光掩模的制造能力尤其紧张,推高了价格并延长了交货时间。目前尚不清楚这种情况会持续多久。光掩模制造商正在扩大产能以满足需求,但这并不是那么简单。成熟节点的掩模制造涉及较旧的设备,其中大部分已过时。Toppan表示,为了取代过时的光掩模工具,该行业可能需要在未来十年内投资 10 亿至 20 亿美元购买新设备。一些设备供应商正在为成熟节点构建新的掩膜工具,但价格更高。掩模用作芯片设计的主模板(templates)。在流程中,IC 供应商设计了一个芯片,然后将其转换为文件格式。然后,在光掩模设备中,基于该格式制造掩模。然后将掩模运送到晶圆厂并放置在光刻机中。光刻机通过掩模投射光,掩模将图像图案化在芯片上。有两种类型的光掩模制造商——captive 和merchant。英特尔、三星、台积电等芯片制造商都是captive掩模制造商,生产 16/14nm 及以下的前沿掩模。有些captive(如台积电在成熟节点制造掩膜。具有captive掩模制造业务的设备制造商生产光掩模以满足内部要求。为外部客户制造光掩模的商业掩模制造商在某些情况下在成熟节点和先进节点生产掩模。对光掩模的需求反映了半导体行业的状况。一段时间以来,业界对芯片的需求空前高涨。这反过来又推动了对所有掩膜类型的需求,尤其是成熟节点的需求。“在 28nm 及以上,并且将继续下去,”商业掩模供应商 Toppan 的营销、规划和运营支持副总裁 Bud Caverly 说。“不是每个应用都负担得起也不需要采用 3nm 技术。将其与当今当前的需求情况相叠加,我们的晶圆厂和光掩模业务在许多地点和节点都已售罄。我们已经看到了短缺。我们需要更多的晶圆厂,而这些晶圆厂将需要更多的光掩模。”为了满足需求,几家专属掩膜制造商正在扩大其制造能力。但是,虽然captive有能力投资新产能和先进设备,但merchant掩膜制造商正面临资本和工具投资挑战。“增加的专业设备需求正在推动对更大节点光掩模的需求。这些成熟的掩模节点约占光掩模总需求的 88%(预计 2022 年将超过 450,000 个单位)。这一单位数量正在推动全球掩膜业务的高产能,特别是在商业掩膜行业,”Bruker销售和营销经理 Michael Archuletta 说。“许多商业掩膜供应商都在使用一系列老化的制造系统,在某些情况下,他们过时的工具变得无法修复。该设备需要更换。不幸的是,历史表明,成熟的技术节点掩膜销售价格很低,导致利润率很低。这意味着可用于新设备的资本投资资金有限。”图 1:光掩模。资料来源:维基百科图 2:光掩模(顶部)和使用该掩模创建的集成电路(底部)的示意图来源:维基百科市场动态SEMI 分析师 Inna Skortsova 表示,总体而言,光掩模行业从 2020 年的 44 亿美元增长到 2021 年的 50 亿美元。根据 SEMI 的材料市场数据订阅服务,到 2022 年,光掩模市场预计将达到 52 亿美元。Photronics 和 Toppan 是最大的商业掩膜制造商。其他商家包括 Compugraphics、Hoya 和 Taiwan Mask。对于成熟的和一些先进的节点,业界使用基于光学的光掩模。基于光学的光掩模尺寸为 6 x 6 英寸和 1/4 英寸厚,由玻璃基板上的不透明铬层组成。对于更复杂的光学掩模,使用硅化钼 (MoSi) 代替铬。玻璃基板上的材料称为吸收层。这些掩模类型用于光学光刻系统。在这些系统中,产生光,然后通过一组投影光学器件引导。然后光通过掩模投射到涂有光刻胶的硅片上,在芯片上形成微小的图案。光掩模在这里起着关键作用。“光掩模,也称为分划板或只是掩模,包含您想要在晶圆上打印的内容,”Fractilia 的首席技术官 Chris Mack 在视频演示中解释道。“它有我们想要阻挡光线的不透明区域,并且在我们想要光线通过的地方是透明的。不透明区域通常由铬或 MoSi 制成。”每个掩膜包含一个或多个裸片的图案,具体取决于芯片的尺寸。在许多情况下,一个芯片设计有几个复杂的特征。打印晶圆上的所有特征需要不止一个掩模。“我们有很多光刻步骤来构建晶体管、金属化和接触孔的所有图案。它们被用来组成这些复杂的集成电路,”Mack 说。“我们需要大量的光掩模——每个光刻层至少一个。180nm 节点器件需要大约 25 个掩模。32nm 节点器件需要大约 50 个掩模。而 16nm 节点器件需要大约 75 个掩模来制造集成电路。”如果一个芯片需要 75 个单独的掩膜,它们一起构成一个“掩膜组”。在蒙版集中,一些蒙版具有更高级的功能,称为关键层。集合中的其他蒙版由非关键层组成。有几种类型的光学掩模,例如二进制和相移掩模 (PSM)。在二元掩模中,铬在选定的位置被蚀刻,从而暴露出玻璃基板。铬材料未在其他地方蚀刻。在操作中,光照射到掩模上并穿过带有玻璃的区域,从而暴露出晶片。光不会穿过带有镀铬的区域。今天也使用 PSM。“PSM 有很多种,但它们通过使用相位来消除你不想要的光,从而产生更高对比度的图像,”Mack 说。使用各种掩模类型和其他技术,现在的 193nm 光刻扫描仪能够对低至 7nm 的芯片进行图案化。但是基于 193nm 的光学光刻在 5nm 变得过于复杂。因此,在 7nm 及以上,芯片制造商使用极紫外(EUV) 光刻技术。使用 13.5nm 波长,EUV 扫描仪可以解析 13nm 特征。EUV 需要不同的光掩模技术。与透射的光学掩模不同,EUV 掩模是反射的。EUV 掩模由基板上的薄硅和钼交替层组成。在多层堆叠上,掩模由钌覆盖层和钽吸收材料组成。今天的 EUV 掩模基于二进制格式。该行业正在开发适用于 3nm 及以上的 EUV PSM。成熟的掩模和工具的不足要制造 EUV 掩模,该行业需要多种新型先进设备。多年来,该行业已投入数十亿美元的资金来开发基于 EUV 的掩模设备以及扫描仪、光刻胶和其他技术。然而,多年来,成熟节点的旧掩模设备通常被忽视。这种情况在 2016 年左右开始发生变化,当时对模拟、射频和其他芯片类型的需求不断增长,导致 200 毫米和 300 毫米晶圆厂的成熟节点出现短缺。300mm 晶圆厂用于制造前沿节点(16nm/14nm 及以下)和后沿节点(130nm/110nm 至 28nm/22nm)的芯片。200 毫米晶圆厂采用成熟的工艺技术制造器件,从 6 微米到 110 纳米节点。(节点是指特定的过程及其设计规则。)尽管如此,成熟节点的芯片需求在 2017 年和 2018 年激增,导致成熟工艺代工产能严重短缺。这对掩膜制造商来说是一个令人担忧的迹象。事实上,在 2018 年的一次演讲中,Toppan技术执行副总裁Franklin Kalk警告说,掩膜行业对成熟节点的需求猛增毫无准备。当时,光掩模制造商主要将较旧的掩模工具用于成熟节点,其中一些已经过时。在其他情况下,一些设备供应商停止支持旧的掩模工具或倒闭。在这种情况下,掩模供应商支持该工具。备件很难找到。Kalk 表示,该行业需要新的掩模工具用于所有设备类别的成熟节点,包括蚀刻机、检测系统、掩模写入器和修复产品。那时,一些厂商开始为成熟节点开发新的掩模工具,但差距仍然存在。同时,成熟节点对芯片的需求持续飙升。从 2018 年到今天,全球成熟节点的代工产能一直很紧张。“在过去的几年里,无论是在传统 CMOS、双极 CMOS DMOS (BCD) 还是 RF-SOI 上,对在 200mm 和成熟 CMOS 技术节点≥28nm 上制造的各种芯片的需求激增。” Lam Research战略营销董事总经理 David Haynes 说。“这些设备包括微控制器、电源管理 IC、显示驱动器 IC 和射频。”如今,芯片需求全面强劲。例如,28nm 平面产品仍然是按节点计算的最大市场之一。联华电子在最近一个季度的 28nm 技术收入增长了 75%。“75% 的收入同比增长反映了与 5G、物联网和汽车相关的强劲芯片需求,”联电联席总裁 Jason Wang 表示。其他节点也有需求。“如果你看看每个节点在哪里建造晶圆厂,它不仅仅是 3nm。几乎每个节点都在以某种形式增加产能,”Toppan 的 Caverly 说。“28nm 是一个高需求节点。在 40nm 到 65nm,您会看到先进的射频、混合信号和某些逻辑的最佳点。您还看到了 110nm 至 130nm 范围内的活动,这是通用、混合信号和模拟类型的产品。”所有这些活动都刺激了对更多光掩模数量的需求。“如果你看一下半导体市场的预测增长,它就会推动光掩模市场对大笔投资的需求,”Caverly 说。“我们还有一个辅助设备问题。大量光掩模设备将需要某种形式的升级,或者由于工具或组件过时而需要更换。这将进一步加剧部分投资需求。”但即使掩膜设备供应商在所有产品类别中都推出了新工具,该行业仍面临其他挑战。例如,据 Toppan 称,仅 65nm 节点的新光掩模生产线预计将耗资 6500 万美元。这包括工具和维护的成本。“在65nm,仅折旧和维护成本就达到了每个掩模 3,500 美元。如果我加上材料、人工和其他成本,那么每个掩膜的总成本将超过 6,000 美元,”Caverly 说。“如果我在这个数字上加上正常的毛利率,这个结果实际上比今天的 65nm ASP 高得多。价格已经大幅下跌,以至于你再也负担不起这笔投资了。”此外,新的光掩模生产线需要掩模设备。“半导体的增长将需要购买新的光掩模工具,这必须显示出足够的回报来保证投资,”Caverly 说。掩膜工艺流程那么成熟节点的掩膜工具差距在哪里?要了解这个,我们必须查看掩模制造过程。先进和成熟的掩膜都遵循相同的基本制造流程。该过程始于掩模空白供应商,该供应商创建掩模空白。根据 Hoya 的说法,光学掩模空白由 6 x 6 英寸的玻璃基板组成,该基板涂有金属膜和光敏剂。然后将完成的坯料运送到制造掩模的光掩模制造商。在这里,对坯料进行图案化、蚀刻、修复和检查,形成掩模。最后,将薄膜安装在面罩上。在图案化步骤中,光敏光刻胶材料被涂敷在坯料的表面上。然后,基于所需的芯片设计,使用掩模写入器工具对空白进行图案化。对于光学掩模,光掩模制造商使用两种类型的掩模写入器,电子束和激光工具。电子束掩模写入器对关键层进行图案化,而激光工具用于成熟层。今天,掩模制造商在成熟节点上使用新旧电子束和激光掩模刻录机。许多旧工具面临淘汰。凸版表示,在接下来的十年中,光掩模行业可能需要投资约 6.67 亿美元,以用更新的系统替换这些旧工具。好消息是,一些供应商已经为成熟节点推出了新的电子束和激光工具。例如,NuFlare 最近推出了 EBM-8000P/M,这是一款用于 40nm 至 25nm 节点的新型电子束掩模写入器。EBM-8000P/M 是一个 50kV 系统,电流密度为 400A/cm2。电子束掩膜刻录机也用于对先进的基于光学的掩膜进行图案化。对于光学掩模应用,供应商使用基于可变形状光束 (VSB) 架构的单光束电子束掩模写入器。在操作中,将空白插入电子束工具中。D2S首席执行官 Aki Fujimura 说:“VSB 掩模写入器然后使用孔径投射成形的电子束以暴露掩模表面上的抗蚀剂。” “第一个孔是正方形,其次是第二个孔,它要么是 90 度角,要么是 45 度边。”每个掩膜都是不同的。图案化一个简单的面具需要很短的时间。复杂的掩码需要更长的时间。业界使用术语“写入时间”,表示电子束写入掩模层的速度。“在 VSB 机器中,机器的写入时间取决于曝光掩模所需的拍摄次数,”Fujimura 说。同时,应用材料公司和 Mycronic 销售基于激光的掩模刻录机。Mycronic 的新型激光掩模写入器专为 90nm 节点及以上节点而设计。“激光刻录机同时使用不到 100 束光束来曝光掩模表面的抗蚀剂,”Fujimura 说。“通常,具有 130nm 基本规则或更大的掩模是用激光写入的候选者。更成熟的几代 VSB 写入器更精确,因为电子束更精确。但激光刻录机比 VSB 刻录机更经济。”同时,在图案化步骤之后,使用蚀刻工具在掩模上蚀刻图案,产生光掩模。这个过程已经很成熟了,但是在流程中可能会出现问题,导致掩模上的缺陷。有两种类型的掩模缺陷——硬的和软的。硬缺陷是图案缺陷。软缺陷是落在掩模上的颗粒。这两种缺陷类型都可能是灾难性的。在光刻过程中,当光线穿过带有缺陷的掩模时,扫描仪可以在晶圆上打印出重复的缺陷。这可能会对芯片产量产生负面影响。因此,在各个步骤中,使用基于光学的掩模检测工具检查光掩模的缺陷。这些工具是可用的,但有一个差距。口罩制造商希望这里有低成本的工具。“大多数掩模供应商报告成熟节点掩模的制造良率在 90% 到 95% 之间,”Bruker的 Archuletta 说。“在成熟的技术节点,模式数据往往不太复杂。吸收体材料和蚀刻工艺很容易理解并且不太复杂。与图案错误相关的硬缺陷很少。所有掩模节点的主要挑战是污染和颗粒缺陷。”同时,一旦缺陷被定位,掩模制造商可以修复其中的许多缺陷。有些缺陷是无法修复的,因此掩模被丢弃。为了修复掩模缺陷,光掩模供应商使用掩模修复工具。从 40nm 到 3nm 节点及以后,光掩模制造商使用两种先进的修复工具,电子束和纳米加工。蔡司销售电子束修复工具。在这个工具中,掩码被插入到系统中。在工具内部,电子束击中掩模上的缺陷。光束与修复缺陷的前体分子相互作用。Bruker销售纳米加工系统,该系统使用基于 AFM 的金刚石尖端来修复掩模缺陷。对于 45nm 及以上的成熟节点,光掩模制造商使用较旧的掩模修复工具,即聚焦离子束 (FIB) 和激光。FIB 工具生成光束以修复缺陷。同时,Bruker销售基于激光的掩膜修复系统。“对于 45nm 的技术节点,首选的不透明修复技术是激光烧蚀。激光修复系统速度很快,可用于硬缺陷图案修复和软缺陷颗粒去除,”Archuletta 说。“一些掩膜工厂仍在使用较旧的 FIB 工具。但大多数 FIB 工具已经过时,如果不小心使用,它们会以损坏掩模基板和吸收材料而闻名。”最后,一旦制造出具有生产价值的光学掩模,就会将聚合物基薄膜安装在掩模上。薄膜覆盖掩膜并防止颗粒落在其上。结论为了满足成熟工艺节点的需求,行业必须克服与掩模和设备过时相关的障碍。虽然掩模制造设备行业正在开发新的掩模蚀刻机、计量工具和其他设备,但这些系统的成本高于它们所替代的工具。这也需要大量投资。可以肯定的是,成熟节点的芯片需求旺盛,没有减弱的迹象。掩膜和掩膜制造设备也是如此,这是该行业关键但有时被忽视的部分。
  • TCL华星第8.6代氧化物半导体新型显示器件生产线项目投产,月产能18万张玻璃面板
    9月29日,TCL华星第8.6代氧化物半导体新型显示器件生产线项目(简称“TCL华星广州t9项目”)正式投产。据了解,该项目投资350亿元,月产能18万张玻璃基板,是国内首座专门生产高端IT产品及专业显示的液晶面板高世代产线。钛媒体APP了解到, t9项目以IT、车载、医疗、工控和航空等不同应用场景下的显示产品为核心,可生产6吋到100吋全尺寸系列显示产品;在效率上,相较于G8.5代量产线,t9项目的手机及笔电代表尺寸的切割效率平均提升9%,主流笔电尺寸切割效率平均提升10%。经过前两年的高歌猛进,今年以来主要面板价格在不断下降,面板厂商们正在经历低谷。从今年半年报来看,包括京东方、深天马、信维诺等在内的面板厂商业绩均出现下滑。在此背景下,面板厂商们通过拓展新终端、多元化布局、降本增效等多种方式度过低谷。TCL华星t9项目此时投产,也是希望把握全球显示面板行业止跌反弹的机会。根据群智咨询(Sigmaintell)数据分析,预计全球液晶电视面板2022年10月止跌回稳。从32英寸到75英寸的液晶电视面板价格,今年10月都将与9月持平。显示器、NB面板价格10月的跌幅也将收窄。尽管全球消费电子市场备货旺季已逐渐进入尾声,但主力品牌库存继续下降及面板厂扩大减产幅度,将令全球中大尺寸液晶面板的价格在2022年四季度分阶段止跌企稳。TCL相关负责人认为,面对即将回暖的整体市场及旺盛的细分领域需求,t9项目将有助于TCL华星中尺寸业务进入规模化、体系化经营新阶段,进一步强化集聚发展趋势与竞争优势,使TCL华星持续夯实完善全尺寸领域产品矩阵和业务体系,构建发展新动能,成为TCL科技业绩增长主引擎之一。
  • 苏泊尔问题锅曝光半年无实际行动 或失中国市场
    公司董秘接受采访言语闪烁,以沉默方式草草结束  苏泊尔“问题锅”处理不当或失中国市场  2月17日,小家电巨头苏泊尔因产品陷入“质量门”宣布停牌。此前的16日,央视《焦点访谈》曝出苏泊尔不锈钢炊具锰含量超出国标近4倍,可引起帕金森病等危险疾病。消息一出,引起业内轩然大波。  《证券日报》记者第一时间致电苏泊尔董秘叶继德,他接受采访时言语闪烁,只说:“公司网站已发布第一时间针对这个事件的回应。”除此以外,他再不愿意多说,以沉默方式草草结束采访。  然而,苏泊尔事件已非一日之事,沉默已经解决不了问题。业内知名人士认为:“其产品距离第一次被曝光出现问题已有半年之久,但苏泊尔至今未采取实质性行动,令人惊讶。长此以往,中国消费者可能要放弃苏泊尔,而苏泊尔一旦失去中国市场就等于失去了一切。”  事件曝光销售大幅下滑  16日,央视曝光苏泊尔81个规格炊具被认定不合格产品。哈尔滨市工商部门经检测,认定知名品牌苏泊尔的四大种类包括:汤锅、蒸锅、奶锅、水壶。钢锅锰含量高出国标近4倍,可致帕金森症。  次日早上,苏泊尔在官网发布了《致苏泊尔的消费者》声明表示:经历次国家权威机构检测,其产品各项重金属析出量均符合国家标准中卫生理化指标的要求,产品卫生安全。  在接受《证券日报》记者采访中,叶继德坚称苏泊尔产品没有问题:“我们的正式产品均在指标之内,此前公司公告已经强调多次。”  中国质量检查协会相关负责人指出:“产品质量不合格危害可分多个层面,直接牵扯到使用者生命安全的,比一般产品危害都大,更为严重。产品质量存在安全隐患,对人生命安全造成威胁,是产品危机中最高等级。”  据记者上周末在北京地区各大家电类商场了解,几乎每家商场都有部分消费者要求退货,同时这些卖场也已经将苏泊尔相关不锈钢厨具产品下架。  “事件对苏泊尔影响很大,去年以来他们在国内的销售业绩就在下滑。而受事件持续影响,业绩下滑趋势可能还会继续。  事件发生以来,销售至少损失20%-30%的利润。”熟悉苏泊尔知情人士透露。  家电行业观察家刘步尘认为:“中国厨具主流品牌苏泊尔连续曝出质量不合格,无疑对整个行业起了负面作用,对其他品牌也会受到影响。”  漏洞百出  苏泊尔为何不能自圆其说  《焦点访谈》的宗旨向来以事实说话。苏泊尔“质量门”事件被曝出之后,业内质疑声不断。纵观事件前后,空穴来风,未必无因。记者就事件发生,苏泊尔前后几次应对中的说法提出三点质疑。  质疑一:认识问题存分歧?  苏泊尔质量不合格主要由哈尔滨工商局道外分局首先发现。然而,媒体曝出之后,苏泊尔拒不承认。中国特钢协会不锈钢分会一位专家此前指出:“苏泊尔用的材料不符合于国家国标板材不锈钢标准,是不合格的。”  苏泊尔认为,其与哈尔滨工商部门的检测报告存有异议,主要是因为企业和工商部门对标准的理解有所不同,认识上存在分歧。并提出了复检,目前正等待国家权威部门的解释。但哈尔滨工商局的相关人士表示:“苏泊尔直到现在也未交出完整复检材料,工商机构的复检工作一直没有实质性进展。”  业内专家认为:“无论是‘不锈钢食具容器卫生’标准和‘不锈钢器皿’标准,苏泊尔的5种不锈钢产品使用不锈钢材料成分均不符合要求。无论什么样的标准,前后两个都要符合,至少拿出符合标准的报告,这些是硬性规定,苏泊尔对问题纠结点归结于‘认识问题存在分歧’,实在站不住脚。”  质疑二:意大利标准之说 钻标准的空子?  苏泊尔声明中指出,关于锰析出量,卫生部在《食品安全国家标准不锈钢制品》知识问答中明确指出:“国外关于不锈钢食具容器有关锰的限定规定,除个别国家外,其他国际组织和相关国家未对锰的析出量做出规定”。目前发达国家仅意大利有锰析出量的限定标准。  苏泊尔表示,参照意大利标准对采用相同材质的产品进行了检测,产品符合意大利标准中关于锰析出量的要求。  业内专家指出:“对于锰超标的认定和给人们带来的伤害标准,食品安全方面的标准并不完善。我国目前尚未制定关于锰析出量的相关标准,而苏泊尔事件并未得到认定,正是钻了这里的一个空子。”  “意大利是发达国家,苏泊尔符合其标准没有问题。但在中国市场销售产品,必须首先符合中国的标准。符合意大利标准未必就符合中国标准。拿出意大利合格证据,为何拿不出在国内的证据,苏泊尔并没有站出来正视这个问题。”中欧国际商学院一位博士告诉记者:“国家卫生管理部门针对各种金属对于人身体损害的标准早在20年前就有,但是存在各方争议。相关部门对于标准的判定需及时更新并给予明确解析。”  质疑三:为何始终拿不出具体数据?  2009年1月,哈尔滨市工商部门查出苏泊尔样品37个全部材质不合格产品。苏泊尔以沉默对待。去年8月,工商部门再次抽检苏泊尔82个样品,其中56个规格的产品再次被认定为“不合格”。这一次苏泊尔公司开始公开的回应“产品合格”,并表示接受复查,然而却不了了之。  直到此次,当苏泊尔被质疑,查出多款产品存在锰含量超标、镍含量不达标等问题。苏泊尔立即在官网做出澄清,表示“经权威部门鉴定也是符合国家标准的”。  然而,面对媒体大量的数据举证,即使在苏泊尔的声明中,苏泊尔也始终未拿出权威数据和其他有利证据,使得传闻愈演愈烈。刘步尘指出:“苏泊尔及公关的对外回应漏洞百出,回答模糊,回避关键问题。”  市场人士认为:“苏泊尔觉得冤枉,可以拿出数据报告等证据,以理服人。苏泊尔在反驳过程中,始终未拿出权威检测报告证明自己的清白。”  苏泊尔可能失去中国市场  近年来,小家电行业竞争激烈,苏泊尔业绩并不理想,“质量门”事件发生也让业内人猜测:这是不是苏泊尔不得已采取大幅降低成本提升业绩之法。然而随着苏泊尔质量隐患问题频繁被曝出,造成连续性影响,苏泊尔的业绩和股价不升反降,企业发展似乎已陷入恶性循环之中。  苏泊尔2011年半年报显示,其国内产品收入23亿,国外收入11亿。显然,国内市场对苏泊尔而言至关重要。家电行业分析师指出:“目前国内小家电更多在低端市场竞争,真正高端靠进口,主要在本土竞争。”  刘步尘告诉记者:“中国小家电市场在2005年和2006年迅速成长起来。由于利润高许多企业迅速进入小家电,行业快速膨胀之后盈利下降,整体成长缓慢,小家电企业日子明显没有前几年好过。这几年,小家电上市公司报表盈利状况并不算好。小家电行业竞争激烈,不排除有个别企业为取得相对较好利润,采取不正当做法。”  业内知名人士认为:“苏泊尔被报道之后带来的损失绝对比采用更好材料生产产品的损失更大,业绩下滑在预计之中。我们看到的是一个拒不改正的苏泊尔。SEB收购苏泊尔之后,苏泊尔反而发展减慢,出现危机事件增多,这是一个非常奇怪问题。苏泊尔品牌形象受到伤害,投资者未来不看好,资本市场表现下降,对于苏泊尔而言是全方位的损失。继续下去,可能中国消费者要放弃苏泊尔,而苏泊尔失去中国市场就等于失去了一切。”
  • 双汇火腿肠问题频发 生蛆长毛掺玻璃无奇不有
    尽管“瘦肉精”事件已平息,但双汇的“小麻烦”依然不断。(资料图 中新社发)  中新网8月4日电 双汇火腿肠日前又曝质量问题,其所产的“Q趣儿”火腿肠被曝未过期即发臭,导致一名成都14岁少年食用后上吐下泻,入院治疗。  尽管在“瘦肉精”事件后,双汇誓言将系统化的保障食品安全,但最近一段时间以来,其各种不大不小的质量问题仍频见报端,虽然不排除有经销环节方面的原因,但双汇在生产过程中,有没有真正做到其承诺的严把质量关,值得深究。  双汇指责消费者敲诈  据华西都市报报道,成都市民谭先生的侄儿在吃下一根双汇“Q趣儿”火腿肠后,上吐下泻,被送到医院治疗。  在购买火腿肠的超市,谭先生、售货员及双汇业务员先后拆开同种类包装完整的火腿肠,均发现存在发臭的问题。而这些火腿肠都是今年5月生产,保质期为90天,离过期大约还有20多天的时间。受双汇成都地区负责人的委托,其供货商表示愿意赔付两万元,但前提是谭先生不要在媒体上曝光。  不过,在双汇实业集团有限责任公司副总经理刘金涛飞赴成都后,事件又生波折。刘金涛认为,谭先生一开始索赔6万元,是一种敲诈式索赔。对此,谭先生回应说,“如果我是敲诈,为何要报警并通知媒体?”。  质量问题近期频曝光  除了这次的成都事件,最近一段时间以来,双汇在各地频陷“质量门”,各种不大不小的负面消息不断传出。  7月中旬,南京市的熊小姐在超市购买了两根双汇王中王火腿肠,在食用后中毒,入院抢救。该火腿肠生产日期为今年4月11日,保质期6个月。事后,双汇工作人员解释为运输过程中破包导致的霉变。但超市方面却表示自己定期检查火腿肠包装,坚称所销售的火腿肠包装是完整的。(据江苏电视台)  6月底,山东的杨先生在食用一根双汇Q星火腿肠时发现异物,经辨认竟是一条长约20厘米的塑料绳。杨先生随即进行了投诉。双汇销售人员称这种情况很常见,属于良性杂质,同意赔付10根火腿肠,遭到杨先生的拒绝。在经过十几天的拉锯后,双汇支付给杨先生500元作为补偿,双方和解。(据青岛新闻网)  6月初,南昌市民黄女士购买了一包双汇润口香甜王玉米风味香肠。4岁的外甥女食用时发现塑料外包装上有芝麻大的白色物体蠕动。工商执法人员对剩余的香肠进行了检查,也发现有近似虫卵的物体。双汇驻南昌办事处负责人王经理表示,香肠经过高温杀菌和密封处理,他们不清楚蛆的具体来源,不好表态。(据大江网)  6月初,福州陈先生的妻子买回双汇非清真鸡肉肠和双汇“泡面拍档”香肠的搭售装,在食用时发现香肠“外衣”上布满蛆虫,其中一些已经死亡。事后,双汇福州负责人要求和陈先生单独协商解决办法,拒绝接受采访。后经记者了解,双汇答应向陈先生赔偿人民币100元。 (据东南网-海峡都市报)  6月初,南京市民卞先生购买的一袋双汇玉米热狗肠疑似变质,女友在食用后严重腹泻,被送往医院治疗。事后,卞先生和双汇进行协商,双汇赔付医药费、误工费共计600元。(据大众证券报)  5月底,桂林市李女士买了一袋玉米风味火腿肠,家人在食用时发现肠内掺有玻璃渣,李女士用尺子量后发现足足有1.7厘米。在电话沟通中,双汇方面强调其产品中不可能会有玻璃,并表示会派业务员上门核实。(据广西电视台)  5月底,天津王女士到超市买了10根双汇火腿肠,打开时发现严重长毛。双汇厂家的相关负责人在接受采访时表示,其生产环节肯定没有问题,但商品从生产到摆上货架还要经历一段时间,问题可能出在储藏或者运输环节。(据中国网)
  • 在线颗粒检测助力水泥、平板玻璃行业碳减排技术的发展及应用
    一、背景介绍水泥、平板玻璃作为两个能耗性传统企业,在碳排放领域面临着巨大压力。作为两个关乎民生的行业,想通过一刀切式的减产来达到碳排放减少并不具有可持续性,因此通过改善和提升生产等各个环节的技术才是减少碳排放的终极之路。受工业和信息化部原材料工业司委托,由中国建筑材料联合会牵头组织全行业科研院所、试点企业及相关单位,编制完成了《水泥行业碳减排技术指南》和《平板玻璃行业碳减排技术指南》,为建材(水泥、平板玻璃)企业开展节能降碳技术改造提供参考。根据指导文件,节能减排技术的改善是多方面、多维度和多层次的,牵扯到从设备到工艺各个层面。本文特邀丹东百特仪器有限公司技术总监李雪冰博士从在线颗粒检测维度分享其对以上两个指南的看法。二、在线粒度检测技术在《水泥行业碳减排技术指南》中,提升能效技术是排在第一位的,而在这16项提升能效的措施中,其中跟研磨有关的就有6项,换句话说,研磨过程对于提升水泥生产能效有重要作用。但我们如何优化水泥、钢渣、矿渣以及生粉的研磨工艺?粒度检测方案就是其中重要的一环,在线粒度仪通过与研磨机联用,能够及时反馈粉料粒度的变化,优化磨机方案。另外,在《平板玻璃行业碳减排技术指南》优化方案中,控制原料粒度和化学成分也是减少玻璃液生成热的重要手段。相比较传统的离线粒度检测,在线检测对于生产来说意义更加重大。首先,在线检测设备能够跟生产设备联用,可以实时给出磨机中颗粒的粒度大小和分布,相比较实验室具有更好的时效性;其次,在线粒度检测不需要人工取样、人工检测、人工记录结果,可减少人为的误差;最后,在线粒度解决方案可以实现远距离数据传输,跟中控系统直接对接,更加高效。在线粒度解决方案三、在线粒度检测技术的创新随着激光粒度分析技术的持续进步,粒度检测设备已经越来越向自动化和智能化方向发展。相比离线的实验室粒度分析仪,在线仪器采用的镜头防污染技术、自动取样技术、测试浓度判定和自动调整技术以及测试数据自动诊断技术等,可以更好地适应产线的实时检测。离线的激光粒度仪如果发生样品池污染,可在测试过程中随时终止测试,然后简单的擦洗维护镜片即可;然而在自动化生产过程中,产线不能随意停机去维护设备,必须保证仪器长时间无维护运行。在线仪器采用的气幕法镜头防污染技术,就是采用特殊的气幕设计来防止颗粒物污染镜头,从而使得相比较实验室仪器,可长时间连续运行。此外,如何从管道中自动连续地取样也是一大挑战,这些技术的完善都是在线技术进入实践的重要保障。随着物联网的崛起,智能化也是碳减排领域的一项重要工作,在线粒度仪除了在满足实时监测粒度的功能外,进一步朝自动化和智能化的方向发展。比如,其采用的全新负反馈技术,不仅使粒度仪和中控系统实现了实时通讯,还可以反过来实时控制和调整磨机以及分级机的转速;这就意味着在线粒度仪不仅仅只是一个粒度检测仪器,还肩负起磨机和分级机自动调整的功能, 相比人工的判断和指令,该技术无疑会进一步提高生产效率,优化研磨过程。同时“一拖二”或者“一拖多”等技术的实现,使得一个主机可实现多个产线管道的监测和控制,进一步提高了生产效率,为节能减排提供了重要动力。四、总结对于传统能源产业,碳减排是一个重大的课题,也是一个难题,需要生产、工艺、设备以及流程多个维度的优化和提升。作为物性检测的一个指标,粒度仪已经在水泥和玻璃行业得到了广泛的应用,然而随着在线检测技术的发展,其可以进一步优化磨机方案,提升生产效率,为碳减排做出相应的贡献。生产应用案例
  • 喜报!--昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理!
    喜报!昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理。上海昊量光电设备有限公司自2023年1月1日正式成为Microlight3D公司SMART PRINT UV系列DMD无掩光刻系统的中国区独家代理商,此次获得Microlight3D的授权,体现了Microlight3D对上海昊量光电设备有限公司市场销售的专业度及售后技术支持力量的高度认可。我们将一如既往的为国内广大用户提供更为优质的服务。Microlight3D成立于2016年,在格勒诺布尔阿尔卑斯大学(Université Grenoble Alpes,UGA)进行了长达15年的3D微型打印技术研发。格勒诺布尔阿尔卑斯大学创建于1339年,是一所拥有近七百年历史的国立综合研究型大学,是欧洲最古老的大学之一,教学科研实力处于法国顶尖、世界一流水平。作为具有世界影响力的法国公立大学,格勒诺布尔-阿尔卑斯大学先后诞生过3位诺贝尔奖获得者(克劳斯冯克利青、路易奈尔、热拉尔穆鲁),1位图灵奖获得者(约瑟夫斯发基斯)。Microlight3D公司一直在快速发展,得益于Smart Print UV System在世界各地的实验室和公立私立研究中心的大量销售。Microlight3D公司推出的DMD无掩模光刻系统Smart Print UV系列,是一种基于DMD投影技术的无掩模光刻设备,可兼容多种抗蚀剂和基材。Smart Print UV可以在微米分辨率下产生任何2D形状,而不需要硬掩模。https://www.auniontech.com/details-392.html 点击查看详请Smart Print UV 系统特点:&diams 最小特征尺寸1.5um&diams 可更换目标的可调节写入区域和分辨率&diams 兼容CAD文件及bitmap文件&diams 兼容SU-8、g线、h 线、i 线等绝大部分光刻胶&diams 兼容多种基材(硅、玻璃、柔性薄膜、金属、塑料等)&diams 兼容多种样品尺寸:2''和4''晶圆、4''和5''方形或者定制更大尺寸、显微镜矩形载玻片、14mm和25mm圆形载玻片&diams 反馈相机:聚焦,对齐,准直&diams 手动旋转台:360°可旋转,精度 0.1°&diams 桌面型,占地空间小&diams 曝光速度相比同类型产品更快!&diams 极具性价比!&diams 软件操作和设备维护简单!&diams 交货周期短!&diams 可免费打样! Smart Print UV 功能选件:&diams 1X Objective 磁吸快速更换镜头 10.6 mm x 5.9 mm FOV and 15 µ m resolution &diams 5X Objective 磁吸快速更换镜头2.1 mm x 1.2 mm FOV and 3 µ m resolution &diams 10X Objective 磁吸快速更换镜头1.06 mm x 0.59 mm FOV and 1.5 resolution&diams 4" 晶圆样品支架 &diams 玻璃载玻片样品支架&diams 多用途大型样品支架兼容4" & 5" 方形基板, 2" & 4" 晶圆, 显微镜载玻片, 14 mm & 25 mm 圆形载玻片&diams 定制化样品支架根据客户要求,可适应更大样品尺寸或多个样品;兼容柔性膜材基底(New!) &diams 保修期延期设备保修期2年,软件免费升级2年Smart Print UV 核心规格:型号SP-UV.StandardSP-UV.Advanced光源曝光波长:385nm;校准波长:590nm最小特征尺寸1.5um对准准度(1cm2区域)2um1um拼接精度 2um<1um最大曝光范围70×70mm2110×110mm2基板尺寸4英寸(wafer)5英寸(方形)写入速度77mm2/min220mm2/min 系统尺寸 长×宽×高:52(cm)×52(cm)×69(cm)软件包:电脑Windows 10Pro, 24inch屏幕SFTprint软件机器控制、步进重复、自动剂量测试、拼接、对齐SFT转换器将标准CAD格式(gdsii、dxf、cif、oas)转换为机器格式;包含CAD软件镜头选项:物镜1X2.5X5X10X写入区域(mm)10.56×5.944.2×2.42.1×1.21.06×0.59最小线宽(um)15631.5Smart Print UV 应用领域:Smart Print UV是为需要制作表面微图案、微结构的任何应用领域的完美设计,如MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等。 Microlight3D公司还有另外一款明星产品-双光子聚合3D纳米光刻机。2007年,第一代双光子聚合3D纳米光刻机microFAB-3D问世,在中国大陆、台湾和欧洲地区有大量的销售。2018年,公司获得了法国研究与创新部颁发的公共研究领域最具创新力年轻公司奖。2019年,推出了第一代紧凑型桌面式双光子聚合3D纳米光刻机。2019年,Microlight3D完全收购了无掩模光刻系统制造商SmartForceTechnologies。未来,上海昊量光电设备有限公司将获得Microlight3D更多的资源支持,昊量光电也将继续秉承互利共赢的发展理念,充分发挥自身强大的专业技术沟通和服务优势,力争为国内MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等应用领域的研究和推广贡献一份力量,满足并不断超越客户的期望,致力于为国内前沿的科研与工业领域提供优质的产品与服务,助力中国智造与中国创造。如果您对DMD无掩模光刻机感兴趣,请访问上海昊量光电的网页,欢迎继续关注上海昊量光电的各大媒体平台,我们将不定期推出各种产品介绍与技术新闻。更多详情请联系昊量光电/欢迎直接联系昊量光电关于昊量光电:上海昊量光电设备有限公司是光电产品专业代理商,产品包括各类激光器、光电调制器、光学测量设备、光学元件等,涉及应用涵盖了材料加工、光通讯、生物医疗、科学研究、国防、生物显微、物联传感、激光制造等;可为客户提供完整的设备安装,培训,硬件开发,软件开发,系统集成等服务。您可以通过我们昊量光电的网站了解更多的产品信息,或直接来电。
  • 3· 15曝光:海参“水深”,敌敌畏、抗生素滥用
    p  7月16日晚,延期四个月的的央视3· 15晚会拉开大幕,曝光了一大批侵害消费者权益的企业,涉及衣食住行用等方面。/pp  其中有一个山东即墨" 养海参整箱放敌敌畏,南方海参冒充北方海参" 的案例令小编觉得触目惊心。/pp  据3· 15晚会曝光内容:“山东即墨是我国主要海参养殖区域之一。2019年10月,正是海参苗培育期,记者来到栲栳湾养殖基地,发现一个池塘边堆放着近百个玻璃瓶,上面写着:敌敌畏。养殖户坦言,为了清除不利海参生长的其他生物,他刚刚往池塘里加入了不少敌敌畏。恒生源,是当地规模较大的海参养殖基地之一,基地内的养殖户也承认经常要用到敌敌畏:“敌敌畏,一个池子我使三箱、四箱,鱼虾都死了。” 据粗略估算,每亩池子里大约用了两公斤的敌敌畏。记者在山东即墨调查发现,这种现象非常普遍。那么,记者很是诧异,往池塘里投放这么多的敌敌畏,难道不怕将海参杀死吗?一位兽药店经营者告诉记者:“海参抗药性是最厉害的,敌敌畏都药不死海参。”/pp  此外,养殖户告诉记者,使用过农药的海水还会被重新排回大海。一些大棚海参养殖户也偷偷告诉记者,他们在养殖过程中也经常用到抗生素等各种兽药原粉。/pp style="text-align: center"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202007/uepic/45e1538e-6df8-4da0-a573-3cb756dfab6a.jpg" title="图片.jpeg" alt="图片.jpeg"//pp  看完这些不得不感叹,一只小小的海参竟然隐藏了这么多不为人知的秘密。/pp  一方面,海参价格不菲,消费者花了大价钱却没有享受到应有的保障 另一方面,使用过农药的海水还会被重新排回大海,而使用这些农药对周围环境造成的影响是不可忽视的。/pp  首先,按照我国农药管理条例规定,农药使用者不得扩大农药的使用范围,而敌敌畏的使用范围不包括海参养殖。敌敌畏属于有机磷农药毒性比较强,接触敌敌畏可能会引起严重的后果。/pp  此外,根据目前的研究,抗生素有两大方面的危害。一方面是抗生素本身的化学毒性 另一方面,抗生素的潜在危害在于抗生素滥用会在环境中产生抗性基因,提高环境微生物的耐药性。据了解,目前对水中抗生素的检测和消除,并没有相应的标准,抗生素一旦进入水中,制约手段就显得匮乏了。所以从使用源头进行控制十分重要。/pp  水体中的抗生素与人类使用行为关系紧密,主要来自于生活源、工业源和农业源的排放。生活源主要包括城乡污水处理设施、生活垃圾处理场(厂)、医院等 工业源主要是抗生素成药和原药生产企业、饲料加工厂等生产和使用抗生素的企业 农业源包括水产和畜禽养殖。/pp  有专家建议,我们应在全社会系统开展控制滥用抗生素的全民行动。/pp  首先,必须立法坚决遏制医疗用药上滥用抗生素。目前医疗滥用抗生素对人体健康的负面影响估计是环境中抗生素对人体负面影响的十万倍乃至上亿倍 /pp  其次,要立即立法严格控制养殖业滥用抗生素,其是环境抗生素的主要来源且对人的健康与生态安全构成最大的风险。—上述两点做好做到位了,就从源头上控制了进入环境的抗生素的总闸门 /pp  其三,应该针对抗生素药厂出台专门的排放标准,从技术可行性方面控制其排水受纳水体局部抗生素浓度过高问题 /pp  其四,应该加强抗生素环境行为及生态、健康风险控制研究,在掌握较充分科学依据基础上,建立抗生素环境与健康风险控制国家体系,出台系列法规与标准,长效常规化管制环境中抗生素。/pp  每年的“3· 15晚会”曝光的事件性质各有不同,像本文中所提的海参案例与环境检测息息相关,还有一些关于食品安全等的案例,在这些领域都少不了科学仪器的身影。为此,仪器信息网特别开设了“破解3.15,曝光商品解决方案大盘点”,供相关领域广大用户参考。/pp  点击图片即可了解更多内容:/pp style="text-align: center "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202007/uepic/ddc5ddf4-43d8-4605-9e48-5b097e1b4c10.jpg" title="专题.jpg" alt="专题.jpg"//a/p
  • 国产突破!中电科二所碳化硅激光剥离设备研制取得重大进展
    据太原日报报道,近日中国电子科技集团第二研究所(以下简称“中电科二所”)近日传来好消息,科研团队在SiC激光剥离设备研制方面,取得了突破性进展。报道指出,目前,中电科二所科研团队已掌握激光剥离技术原理与工艺基础,并利用自主搭建的实验测试平台,结合特殊光学设计、光束整形、多因素耦合剥离等核心技术,实现了小尺寸SiC(碳化硅)单晶片的激光剥离。据介绍,SiC半导体材料具有高热导率、高击穿场强、高饱和电子漂移速率、化学性能稳定等优点,对电动汽车、高压输变电、轨道交通、通讯基站、卫星通讯、国防军工等领域的发展有重要意义。但是,因SiC材料硬度与金刚石相近,现有的加工工艺切割速度慢、晶体与切割线损耗大,成本较高,导致材料价格高昂,限制了SiC半导体器件的广泛应用。激光垂直改质剥离设备被誉为“第三代半导体中的光刻机”,科利用光学非线性效应,使激光穿透晶体,在晶体内部发生一系列物理化学反应,最终实现晶片的剥离。这种激光剥离几乎能避免常规的多线切割技术导致的材料损耗,从而在等量原料的情况下提升SiC衬底产量。此外,激光剥离技术还可应用于器件晶圆的减薄过程,实现被剥离晶片的二次利用。中电科二所聚焦第三代半导体关键核心技术和重大应用方向,以解决SiC衬底加工效率这一产业突出难题为目标,将SiC激光剥离设备列为重点研发装备,借此实现激光剥离设备国产化,力争使其具备第三代半导体核心装备研发、产业化和整线装备解决方案的能力。目前,这一研发项目已通过专家论证,正式立项启动,下一步将依托国家第三代半导体技术创新中心,汇聚科研优势力量,聚焦激光剥离技术的实用化与工程化,积极推进工艺与设备的协同创新,研发快速生产化、全自动化、低能耗化的激光剥离设备。
  • 玻璃幕墙自爆风险检测仪研制
    table width="600" border="1" align="center" cellpadding="0" cellspacing="0"tbodytrtd width="123"p style="line-height: 2em "成果名称/p/tdtd width="525" colspan="3"p style="line-height: 2em "玻璃幕墙自爆风险检测仪/p/td/trtrtd width="123"p style="line-height: 2em "单位名称/p/tdtd width="525" colspan="3"p style="line-height: 2em "中国建材检验认证集团股份有限公司/p/td/trtrtd width="123"p style="line-height: 2em "联系人/p/tdtd width="177"p style="line-height: 2em "艾福强/p/tdtd width="161"p style="line-height: 2em "联系邮箱/p/tdtd width="187"p style="line-height: 2em "afq@ctc.ac.cn/p/td/trtrtd width="123"p style="line-height: 2em "成果成熟度/p/tdtd width="525" colspan="3"p style="line-height: 2em "□正在研发 □已有样机 □通过小试 √通过中试 □可以量产/p/td/trtrtd width="123"p style="line-height: 2em "合作方式/p/tdtd width="525" colspan="3"p style="line-height: 2em "□技术转让 √技术入股 □合作开发 □其他/p/td/trtrtd width="648" colspan="4"p style="line-height: 2em "strong成果简介: /strongbr/ 上世纪80年代以来,我国各大城市相继建造了大量的玻璃幕墙建筑,这些玻璃幕墙大多建在繁华地区或人口密集地区,近几年玻璃幕墙破裂事故频繁发生,政府和相关单位也越来越重视玻璃幕墙的安全性检测。玻璃的破碎一般可归咎于玻璃种所含的杂质和缺陷,这些杂质和缺陷会引起钢化玻璃的应力集中现象,而应力集中则是导致钢化玻璃自爆的最根本原因。 br/ 该仪器通过投射与反射光弹原理,利用自然光和暗箱检偏器设计,形成无能耗的光强差,获取幕墙玻璃的应力条纹图像,然后对应力条纹进行图像处理和分析,找出应力条纹的奇异点,即应力集中点,对这些区域进一步放大分析,确定杂质的缺陷类型、尺寸以及位置。 br/ 该仪器解决了现有测试技术的瓶颈问题,采用便携式的设计理念,所需作业空间小,降低了现场检测场所的作业要求,采用无线传输技术,并研制了配套的爬墙机器人,对高层玻璃幕墙进行检测时可以进行远距离的操作和图像的传输。特别适用于玻璃幕墙的现场检测。 br/ 该仪器分别为广州太古汇、广州地铁南站、北京中粮广场、西单民生银行大厦、国家图书馆二期、梅兰芳大剧院、中国电科院、乐坛大厦、金阳大厦、北京工业大学等地方进行玻璃幕墙检测并取得了客户的一致认同。/p/td/trtrtd width="648" colspan="4"p style="line-height: 2em "strong应用前景: /strongbr/ 针对建筑、家庭及各种车辆用钢化玻璃的自爆和脱落导致灾难性后果这一迫切需要解决的难题,研发了钢化玻璃自爆风险检测仪,解决现有测试技术的瓶颈问题。对于大面积使用钢化玻璃的玻璃幕墙来说,这些玻璃幕墙大多处在繁华地区或人口密集区域,每一块有风险的幕墙玻璃就像人们头顶上的利剑,都有可能造成严重的问题,该仪器可以鉴别出存在自爆风险的玻璃,在玻璃自爆之前更换它们就能够避免事故的发生。/p/td/trtrtd width="648" colspan="4"p style="line-height: 2em "strong知识产权及项目获奖情况: /strongbr/ 发明专利两项: br/ 一种检测钢化玻璃幕墙杂质和缺陷的方法与装置 br/ 专利号:200810119762.8br/ 一种检测玻璃幕墙自爆隐患的方法及装置 br/ 专利号:200810167250.9br/ 国家标准一项 br/ GB/T30020-2013,玻璃缺陷检测方法-光弹扫描法/pp style="line-height: 2em "项目受科技部国际合作项目“安全玻璃可靠性评价与无损在线测试”(2005DFA51010);科技部科研院所专项“玻璃幕墙在线性能和可靠性检测技术”( NCSTE-2006-JKZX-269);科技部国际合作项目“建筑玻璃的结构/功能一体化研究”(2010DFB53100)支持。 br/ 项目获得2015年度中国建筑材料联合会· 中国硅酸盐学会-全国建筑材料科学技术奖发明类二等奖。/p/td/tr/tbody/table
  • 315负责曝光,我们负责解决,曝光商品解决方案专题即将上线
    p style="text-align: center text-indent: 0em "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width: 100% max-height: 100% width: 600px height: 187px " src="https://img1.17img.cn/17img/images/202003/uepic/873f7e24-2788-4be3-9825-157b444b930b.jpg" title="新闻用图.png" alt="新闻用图.png" width="600" height="187" border="0" vspace="0"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strongspan style="color: rgb(84, 141, 212) "点击进入/span/strong/abr//pp style="text-indent: 2em text-align: justify "strong央视的“3.15晚会”已连续举办29届,每年的“3.15晚会”都会曝光一些渉及国运民生的重大惊人黑幕!其更是被网友戏称“比春晚还精彩”,其关注度可见一斑。/strong/pp style="text-indent: 2em text-align: justify "每年的“3.15晚会”曝光的事件性质各有不同,可能有行业内幕,可能有商业套路;可能有网络骗局,可能有售后服务,strong但离不开的是每年关于产品质量和食品安全的曝光。/strong/pp style="text-indent: 2em text-align: justify "strong所谓“魔高一尺道高一丈”,既然有那么多的假货出现,我们自然就有相应的办法去检测并发现它。以2019年的3.15晚会为例,曝光的电子烟、翻新纸尿裤、“化妆”土鸡蛋、“毒辣条”、医疗垃圾玩具其实都是可以通过科学仪器进行分辨的,/strong下面就为大家列举一些解决方案,揭穿这些产品“面具”:/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "电子烟:/h1p style="text-indent: 2em text-align: justify "电子烟存在的问题主要是尼古丁致成瘾,青少年吸食电子烟,将来都会成为烟草的吸食者,且很多电子烟液中尼古丁含量与标示含量不符,实际含量非常高,误导并危害消费者;另一方面则是strong电子烟中的一些有害物质,烟液中通常含有香料、丙二醇和甘油,还含有大量甲醛,被测试的四种烟液每100次抽吸产生的电子烟雾中甲醛检出量在2.3毫克到14.9毫克之间,是我国居室内空气中甲醛最高容许浓度0.08mg/msup3/sup的数十倍甚至上百倍。/strong汽化的丙二醇和甘油对于呼吸道有强烈的刺激作用,目前越来越多的研究证实电子烟也会释放有害物质,一定程度上威胁到吸烟者和被动吸烟人群的健康。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911042.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟中尼古丁检测产品配置单(液相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893232.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液体中尼古丁、丙二醇和甘油检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-916924.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液中丁酸检测产品配置单(吹扫捕集)/strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-868588.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟叶中2,3-丁二醇检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%94%B5%E5%AD%90%E7%83%9F" target="_blank" style="text-decoration: underline color: rgb(84, 141, 212) "span style="color: rgb(84, 141, 212) "strongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "了解更多。。。/span/strongstrongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "/span/strong/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "翻新纸尿裤:/h1p style="text-indent: 2em text-align: justify "黑心厂商回收废弃纸尿裤,制成所谓的“散浆”后,再生产成成人纸尿裤、妇女用卫生棉、婴幼儿纸尿裤后再售卖,且未经消毒处理。霉烂的散浆生产的产品严重危害了人体的健康。按照我国《GB15979-2002 一次性使用卫生用品卫生标准》规定,相关产品需要满足一下标准:/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 664px height: 346px " src="https://img1.17img.cn/17img/images/202003/uepic/edaafd61-40ee-4907-9b3e-e59d6a4d8bce.jpg" title="截屏2020-03-11下午3.58.40.png" alt="截屏2020-03-11下午3.58.40.png" width="664" height="346" border="0" vspace="0"//pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-903109.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落中菌落总数检测产品配置单(接种仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893613.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数、总大肠菌群、耐热大肠菌群、大肠埃希氏菌、贾地鞭毛虫和隐孢子虫检测产品配置单(基因扩增仪)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-324704.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数检测产品配置单(菌落计数器)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%BA%B8%E5%B0%BF%E8%A3%A4" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px " span style="text-indent: 2em "“化妆”土鸡蛋/span/h1p style="text-indent: 2em text-align: justify "通过在鸡的饲料中添加“斑蝥黄”这种添加剂,让蛋黄的颜色变深,伪装成土鸡蛋进行售卖,虽然不至于影响健康,但其实在以此办法获得高利润,欺诈消费者。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-910248.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "土鸡蛋中斑蝥黄检测产品配置单(液相色谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911873.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "鸡蛋中新鲜度,血斑,蛋白质含量检测产品配置单(近红外光谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893527.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料,饲料原料中转基因成分检测产品配置单(基因扩增仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-244113.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料中砷检测产品配置单/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?keywords=%E9%B8%A1%E8%9B%8B&act=solutionpaper&filter=0&filter2=0&fuzzy=0&sort=0&fromdate=&todate=" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "剩下的毒辣条”和医疗垃圾玩具都有对应的解决方案,此处不再一一列举。/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居中" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px "span style="font-family: 楷体, 楷体_GB2312, SimKai font-size: 20px "2020年消费维权年主题为“凝聚你我力量”,strong今年的“3.15晚会”会揭秘哪些假冒伪劣商品呢?这些劣质商品要如何分辨呢?/strong/span/h1p style="text-indent: 2em text-align: justify "strong对于辨别这些劣质且危险的产品,科学仪器是必不可少的,为此,仪器信息网特别开设了span style="font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) "“破解3.15,曝光商品解决方案大盘点”/span,供质检单位和广大民众参考。/strong/pp style="text-indent: 2em text-align: justify "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202003/uepic/47427dc2-7d60-4a31-b456-5aa90140c440.jpg" title="新闻用图.png" alt="新闻用图.png"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strong点击进入/strong/abr//p
  • 生物医学玻璃的激光微加工—芯片实验室
    相信大家在部分科幻电影或动漫中,常常能看到可以植入人体的芯片,用来监控身体各个参数、增强人体机能和神经反应。芯片一旦植入,普通人就变身成为神秘特工或战士。而现实中随着马斯克的脑机接口正在一步步迈向临床,AlphGo把人类棋手完虐等以前只能在科幻电影中见到的“未来科技”,逐步在现实生活中出现的时候,拥有“小身材有大智慧”的AI芯片似乎也能够梦想照进现实了。事实上,如今已有一些“芯片实验室(Lab-on-a-chip)”出现了,并且其发展速度是非常快的!芯片实验室什么是“芯片实验室(Lab-on-a-chip)”?简单地说,能够将整个在实验室中进行的基本操作单位集成到简单微系统上的技术就叫“芯片实验室”。“芯片实验室”中的芯片是作为流体在其中流动的微通道图案,可被模塑或刻蚀。微通道和外部宏观环境之间的连接需要通过若干孔,这些孔穿透芯片,具有不同的尺寸,用于将流体注入芯片或从芯片中移除。在微流控芯片中,根据实验需要,流体被混合、分离或引导。终结果可形成自动复合系统,从而实现高通量检测。在生物医学应用领域,芯片实验室可以实现快速诊断。芯片实验室技术有望成为一种重要的诊断工具。这些微型化的设备使医疗保健服务提供方可以使用非常少量的试剂和测试样本执行一系列诊断测试。此外得益于它们的便携性,还可以在远离实验室环境的现场进行测试。制作芯片实验室(Lab- on-a-chip)或微流控芯片(Microfluidic chip)的材料主要是玻璃,受限于芯片的微尺度特性,在制备过程中,对玻璃进行激光微加工有着很高的要求。制作芯片实验室的大挑战之一是在玻璃芯片内部加工高精度管道、容器和阀门。挑战:玻璃微加工由于其脆性和透明性,玻璃中进行微小的特征加工进行是相当困难的。如果使用常规工具手段,实际上是不可能的。但是快激光器可以胜任这种加工。当脉冲持续时间低于几十皮秒时,激光与材料的相互作用进入冷烧蚀状态,加工质量和精度会变得很高。常规的微制造方法,例如光刻,压印和软蚀刻,已经用于制备微流体芯片。然而,当要实现具有多功能集成的复杂微流控芯片时,这些方法将面临巨大挑战,因为它们需要太多工艺步骤,并且成本很高。刻蚀来啦▲由NKT Photonics的ORIGAMI XP飞秒激光制备的芯片实验室样品大功率快激光脉冲穿透玻璃。紧聚焦的飞秒激光脉冲可以经济地生产具有多功能的通用微流控芯片。短脉冲宽度提供了令人难以置信的峰值功率,即使在透明材料中,也可以进行表面和块状材料内部的改性以进行划线。▲飞秒激光加工的芯片沟道特写快激光确保加工的高精度和高质量。通过利用激光的高度空间选择性,可以将相互作用区域地设置在材料的特定局部区域。这使得飞秒加工技术可以在透明材料中以微尺度对复杂的三维形状进行非常高分辨率的图案化和雕刻。▲深度小于10 μm的沟道特写NKT快激光器可以实现非常精细的深度和通道宽度控制飞秒级短脉冲宽度比材料中的电子-声子耦合过程都短,因此短的飞秒脉冲宽度,意味着在飞秒时间尺度传递能量,这能很好的抑制热影响区的形成和热损害。这种“冷烧蚀”方式实现了高精度和高分辨率的微加工处理,并具有的处理可靠性。紧密聚焦的光束可以在微尺度上非常高分辨率地对复杂形状进行微加工。▲用ORIGAMI XP飞秒激光处理过的芯片实验室样品的特写图片展示为芯片中直径约0.6 mm的圆形储集层NKT Photonics:我们来提供NKT Photonics的快激光提供的短脉冲非常适合用于制备芯片实验室器件。我们强烈建议将ORIGAMI XP用于玻璃和其他透明材料的激光加工。ORIGAMI XP是一款集成、单箱、微焦级飞秒激光器。激光头、控制器和空气冷却系统都集成在一个小巧而坚固的包装中,体积小,甚至可以放在手提行李中! ORIGAMI XP系统基于紧凑的啁啾脉冲放大技术平台,能够在1030 nm处提供高达75μJ的脉冲能量,5 W的平均功率以及小于400 fs的脉冲持续时间。 特点:• 风冷,单箱体,易于集成• 400 fs标准脉冲宽度• 5 W / 75 μJ @ 1030nm• 2.5 W / 40 μJ @ 515 nm• 1 W / 20 μJ @ 343nm• 单发(Single-shot)和按需脉冲(Pulse-on-Demand)• 双输出波长模块• 的脉冲能量和指向稳定性• 工业,坚固的设计• 可以任意方向安装• 实时脉冲能量测量和控制?• 高可靠性• 亦可用水冷 北京凌云光技术集团作为NKT Photonics公司在中国的战略合作伙伴,多年的合作中NKT Photonics公司与凌云始终如一,为客户不断提供更稳定、更先进、更前沿的技术,如果您对以上产品感兴趣,请拨打400 898 0800 电话问询!
  • 修正药业等央视曝光企业选用新仪微波消解仪应对毒胶囊事件
    4月15日央视曝出修正药业、长春海外制药等多个企业的13种药用铬超标胶囊药物,其成分含有不可食用的工业明胶。而这种工业明胶被做成了治病的药物胶囊,铬超标最大达90倍,致癌物直接严重危害身体健康,被称为毒胶囊。 事件曝光后,修正药业集团,长春海外制药和通化金马药业集团等企业,一夜之间成为了全国关注的焦点。为了应对毒胶囊事件,修正药业等集团一方面召回不合格胶囊产品集中焚烧销毁,另一方面积极采购检测设备提高原料和成品的检测能力和质量控制手段。 经过反复论证,修正药业,长春海外和通化金马等企业都不约而同地选择了上海新仪微波消解仪作为样品前处理仪器,配合后续的原子吸收分光光度计检测铬等重金属元素,由于胶囊消解过程中压力会瞬时上冲,对微波消解仪的安全性要求较高,同时这些处于风口浪尖的药企所需检测的样品量惊人,需要选择可靠性和稳定性高的仪器。上海新仪公司作为国内微波化学行业的领先企业,再次受到了青睐。 修正药业集团一次采购了4台微波消解仪,其中总厂使用新仪MASTER 40罐高通量微波消解仪,长白山分厂,抚松县分厂和四川修正药业都选择了新仪MDS-10超高压微波消解仪。 长春海外制药和通化金马药业都选择了新仪 MDS-8G 多通量微波消解仪。 现在这些仪器都在这些药企的分析测试中心,日夜不停地工作,检测各种胶囊和胶囊制剂产品的重金属含量。  修正药业分析测试中心大楼     上海新仪应用工程师与修正药业实验分析人员一起使用  MASTER 40罐高通量微波消解仪
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制