当前位置: 仪器信息网 > 行业主题 > >

激光无掩膜光刻机

仪器信息网激光无掩膜光刻机专题为您提供2024年最新激光无掩膜光刻机价格报价、厂家品牌的相关信息, 包括激光无掩膜光刻机参数、型号等,不管是国产,还是进口品牌的激光无掩膜光刻机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合激光无掩膜光刻机相关的耗材配件、试剂标物,还有激光无掩膜光刻机相关的最新资讯、资料,以及激光无掩膜光刻机相关的解决方案。

激光无掩膜光刻机相关的资讯

  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laser interferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 大族激光:光刻机已实现小批量销售
    2022年4月18日,大族激光科技产业集团股份有限公司召开业绩说明会,参会人员为通过线上交流平台参与公司 2021 年度业绩网上说明会的投资者。说明会上,大族激光针对目前公司光刻机研发进度和分辨率问题进行回复并表示,公司光刻机项目分辨率 3-5μm,主要聚焦在分立器件、LED 等领域的应用,已实现小批量销售。针对大族激光在半导体领域目前的规划,光刻机新的进展,以及下一步在半导体领域是否和华为展开合作等问题,大族激光表示,得益于 Mini-Led 对行业设备需求的带动和公司市场占有率的持续提升,公司半导体及泛半导体行业晶圆加工设备快速增长,实现营业收入 6.69 亿元,同比增长 140.62%。其中,LED 行业晶圆加工设备实现营业收入 4.78 亿元,同比增长 115.46%,保持市场领导地位,Mini-Led 切割、裂片、剥离、修复等设备实现大批量销售,Micro-LED巨量转移设备正在验证过程中;半导体行业晶圆加工设备实现营业收入 1.91 亿元,同比增长 239.96%,半导体激光开槽、半导体激光解键合、化合物半导体激光切割等产品实现批量销售。公司封测设备业务主体大族封测保持良好发展趋势,营业收入同比增长约 128%。公司光刻机产品主要用于分立器件领域,最新产品接近式光刻机样机已经开发完成。目前,公司在半导体领域暂未和华为展开合作。
  • 大族激光:光刻机项目已实现小批量销售
    1月14日,大族激光在互动平台表示,目前公司在研光刻机项目分辨率为3-5μm,主要聚焦在5G通讯配套分立器件、LED、Mini/Micro-LED新型显示等方面的应用,且光刻机项目已实现小批量销售。值得关注的是,近日,深圳监管局披露了大族激光的子公司大族数控首次公开发行股票并上市辅导备案信息。据披露,大族数控拟首次公开发行股票并在境内证券交易所上市,现已接受中信证券的辅导,并于2020年12月31日在深圳证监局进行了辅导备案。据了解,大族数控是由大族激光组建的全资子公司,是集技术研究、开发、生产和销售为一体的高科技企业。天眼查显示,大族数控注册资本为37800万人民币,于2014年12月30日及2016年3月1日,分别获得了由大族控股和大族激光投资的两轮战略融资。在产品方面,其专业开发和生产具有国内领先水平的HANS系列PCB激光设备、PCB数控钻铣机HANS系列PCB激光设备,以及PCB数控钻铣机是集激光技术、机械学、电子学、计算机学、气动学和光学于一体,是印刷电路板行业的专用设备,适用于印刷电路板的精密钻孔和异形槽、孔、边 框的铣削加工。公司于2006年通过ISO-9001质量认证。
  • 重磅!俄罗斯计划研发无掩模X射线光刻机
    4月2日消息,据外媒报道,俄罗斯莫斯科电子技术学院(MIET)已经接下了贸工部的6.7亿卢布资金(约合5100万元人民币),准备研发制造芯片的光刻机,并号称该款光刻机工艺可以达到EUV级别,但技术原理完全不同,他们研发的是基于同步加速器和/或等离子体源的无掩模X射线光刻机。文章内容显示:“MIET已经在无掩模EUV光刻领域取得了进展,包括与国内其他科研机构和科学家团体联合开展的研究。该项目还将涉及Zelenograd公司ESTO和Zelenograd同步加速器,现在是国家研究中心库尔恰托夫研究所的技术储存综合体(TNK)Zelenograd。“基于在该国运行和发射的同步加速器,特别是在TNKZelenograd的同步加速器以及国内等离子源的基础上,创造技术和设备,将使处理具有设计标准的半导体晶片成为可能28nm、16nm及以下,”招标文件包含这项研究工作(研发)的要求。“无掩模X射线纳米光刻技术和正在开发的设备在国内和世界上都没有类似物。”据了解,X射线因为波长很短,几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于mems技术当中。目前国内有两个地方可以做X射线光刻,一个是合肥同步辐射,一个是北京同步辐射。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。目前最先进的光学光刻是EUV,极紫外光刻。我们也称之为软X射线光刻,既有光学光刻的特征,也有X射线光刻的特征。极紫外波长很短,没有透镜能够放大缩小,所以只能采用凹面镜进行反射式缩放。而掩模版也采用反射式,曝光方式也是扫描,整个系统在真空下运行。公开资料显示,承接了光刻机研发计划的“MIET”是俄罗斯高科技领域领先的技术大学。通过将现代实验室、对教育过程的全新认识以及教育、科学和工业进行独特整合,MIET成为微电子和纳米电子、电信和信息技术领域培训专家的领导者。该大学是俄罗斯大学发明活动排名中最强大的三所大学之一,是莫斯科国立大学排名中排名前五的技术大学之一,也是著名的英国出版物《泰晤士报》排名前20位的俄罗斯大学之一高等教育。实际上俄罗斯早已在芯片制造业上遭到了美国制裁。俄国内唯二半导体企业Ангстрем公司原计划通过AMD购买必要工艺设备,但这笔交易由于2016年Ангстрем公司上了美国商务部制裁名单而中止,其在泽列诺格勒的工厂因为制程工艺落后无法获得足够订单长期处于亏损状态债务超过1000亿卢布,2019年其最大债权方VEB.RF(俄罗斯国家开发集团)对其进行破产重组。当然俄另一家芯片制造商Микрон因祸得福获得了利用Ангстрем生产车间改造28纳米制程新生产线的机会,为其节省了10亿美元。俄国内半导体消费市场不到全球份额2%,如果没有政府推动,针对这样小市场的产业需求去研发制造需要投入几百亿美元成本的DUV\EUV光刻机是经济上极不合理的(全世界产业市场也就那么大)。另一方面俄军用、航天市场对芯片需求的批量不大,但种类多,需要经济上合理的小批量、多品种的产能。适用于大批量生产的投影式光刻机不能满足这种产业需求。俄国内有两条使用8英寸晶圆的生产线,分别属于АО «Микрон»和ООО «НМ-ТЕХ» 。6英寸晶圆的四条生产线,分属АО «Микрон», АО «Ангстрем», АО «ВЗПП-Микрон»和НИИСИ РАН,前面三个都属于上世纪90年代至本世纪初技术水平,值得注意的是最后那个用的是新的无掩膜直写。2014年荷兰Mapper公司与俄RUSNANO公司合资在莫斯科组建一家生产无掩膜光刻机核心组件微机电光学元件的工厂。该工厂生产的电子光学元件可以将一束电子束分成13000束电子束,并对每束电子束进行控制,从而极大提高了无掩膜电子束光刻机的生产效率,使这类光刻机用于设计阶段样品制造外,更加适应小批量生产的需求。Mapper公司多束无掩模光刻机,可以用于32纳米制成,其核心部件即由俄罗斯制造。更早时候,RUSNANO投资了瓦迪姆.拉霍夫斯基教授团队研制的纳米级定位器,使用该项技术可用于加工10纳米精度的非球面光学元件(用于紫外和X波段)。而这位瓦迪姆.拉霍夫斯基,是位大牛。1992年他与苏联时期在全联盟计量科学研究所工作的同事创立一家小公司接一些为苏联时期电子产品生产零件的零散订单。在生产过程中,他们被掩膜缺陷反复折腾,随着制成工艺缩小,就会出现新的问题,之前提出的解决方法都不再有效。而所需要的投资也越来越高,单是掩膜成本就从0.5微米时代的400美元增加到如今的70万美元以上。这时候拉霍夫斯基想到如果用全息生成图像的方法就可以避免掩膜缺陷对产品质量造成影响,据估计,即便缺陷占据全息掩膜面积1%,实际创建的图像质量也不会受到影响。掩膜局部缺陷对成像质量的影响降低了9-10个数量级。这同样可以延长掩膜的使用寿命和降低透镜成本(只需要简单的透镜来照射面罩),甚至利用这一技术可以实现3D光刻。但根据全息图像计算全息掩膜时,他们遇到了数学难题,为此他找到了现代渐近衍射理论的创始人弗拉基米尔安德烈耶维奇博罗维科夫教授,教授为他提供了计算方法。然而全息掩膜的计算量仍然需要超级计算机才能完成。之后他的开发团队致力于简化算法,直至能够在微机上实现,同时他们开发了一个软件包,用以生成全息掩膜(在此过程中他们发现如果用平面波再现全息图将使掩膜的拓扑结构变得无法制造,为此他们通过数学方法解决了会聚球面波的难题)。最初他找到RUSNANO,希望获得对其研发的全息投影光刻技术的投资。但RUSNANO的态度令他感到失望。之后这位老哥找到SEMI欧洲分会主席,于是他获得了瑞士Empa资金支持,并在2015年成立了Nanotech SWHL GmbH公司。按照这位大牛的观点,俄政府领导人熟悉大工业,但不熟悉技术密集型产业,缺乏苏联政府那样对有产业潜力的先进技术孵化投资的远见。而此次外媒报道的无掩膜X射线光刻机虽然无法满足大批量生产的需求。不过2020至2021年9月份,俄整个电子工业只得到2660亿卢布拨款,一座28纳米生产线和配套晶圆厂至少也要投资上万亿卢布,投入这么大一笔费用,俄国内市场也难以提供足够订单维持其运转。光刻机、芯片制造从来不是自古华山一条道,解决不同需求有不同的技术路径(例如大批量生产方面压印法也是比较有发展前景的工艺)。
  • 全球仅一家满足要求!海德堡获1300万元激光直写光刻机单一来源采购大单
    中国政府采购网5月13日发布《北京量子信息科学研究院科研仪器设备激光直写光刻机单一来源采购公示》,拟采购的货物为激光直写光刻机2台。北京量子信息科学研究院将以单一来源采购方式从Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商华格科技(苏州)有限公司进行仪器采购,总预算金额1300万元。公示期限为2022年5月13日至2022年5月20日。采用单一来源采购方式的原因为:计划采购的激光直写光刻机需要可加工的最小结构尺寸达 0.3 μm,可以满足工艺的最低要求,而由于激光直写类设备的原理及工艺限制,0.3 μm基本上是该类设备可以达到的极限。在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH 的 DWL66+激光直写光刻机可以达到要求。此外,随着芯片工艺的复杂度和芯片面积的不断上升,现有激光直写设备速度较慢的问题逐渐凸显,对流片速度产生了明显的影响。我们计划采购的另一台激光直写光刻机要求在保证最小结构尺寸不大于 0.8 μm 的条件下,曝光速度不小于 800 mm2/min,并且可以加工 8 英寸晶片,对缩短芯片研发、生产周期具有不可替代的作用。我们在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH的 VPG200+激光直写光刻机在不大于 0.8 μm 的最小结构尺寸下具有≥800 mm2/min 的直写速度。华格科技(苏州)有限公司是 Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商。综合以上研究要求,特申请以单一来源形式,通过华格科技(苏州)有限公司采购所需的激光直写光刻机。参与本次单一来源采购论证的专家为:北京大学副教授康宁、北京大学副教授吴孝松、中国科学院物理研究所副研究员屈凡明、中国科学院物理研究所副研究员田野、中国科学院物理研究所副研究员宋小会、北京政法职业学院教授孟德花、北京京棉纺织集团有限公司高级经济师刘放。专家论证意见如下:
  • 南科大采购无掩膜光刻机,接受进口产品投标
    p style="line-height: 150% text-indent: 2em text-align: justify "span style="font-size: 16px line-height: 150% font-family: arial, helvetica, sans-serif "10月9日,南方科技大学(简称“南科大”)采购与招标管理部发布《SUSTech-2020-189 电子系无掩膜光刻机采购项目》的招标公告,预算达350万元。/span/pp style="line-height: 150% text-indent: 2em text-align: justify "span style="font-size: 16px line-height: 150% font-family: arial, helvetica, sans-serif "本次采购的光刻机的激光光源375nm,要求使用寿命10000小时。同时特别要求光刻机的最小图形线宽达到1微米,直写速率达到500平方毫米每分钟,局域对准误差(3σ)为 0.25微米以及全域对准误差(3σ)为0.5微米。/span/pp style="line-height: 150% text-indent: 2em text-align: justify "span style="font-size: 16px line-height: 150% font-family: arial, helvetica, sans-serif "本次招标接受投标人选用进口产品参与投标,但不排斥国内产品。进口产品是指通过海关验放进入中国境内且产自关境外的产品,即所谓进口产品是指制造过程均在国外,如果产品在国内组装,其中的零部件(包括核心部件)是进口产品,则应当视为非进口产品。采用“接受进口”的产品优先采购向我国企业转让技术、与我国企业签订消化吸收再创新方案的供应商的进口产品。/span/pp style="line-height: 150% text-indent: 2em text-align: justify "span style="font-size: 16px line-height: 150% font-family: arial, helvetica, sans-serif "以下为项目详情:/span/ppspan style="font-family: 宋体 "项目编号:/spanspan style="font-family: 宋体 "SUSTech-2020-189 /span/pp style="line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"项目类型:货物类/span/pp style="line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"货币类型:人民币/span/pp style="line-height: 150% text-indent: 2em "span style="line-height: 150% font-family: 宋体 "根据相关法律法规的规定,本项目采用公开招标的方式实施采购,现将该项目采购公告进行公示,有关事项如下,欢迎合格投标人参与本项目投标:/span/pp style="margin-top:8px margin-right:0 margin-bottom:8px margin-left:0 line-height:150%"strongspan style="font-size:16px line-height:150% font-family:宋体"一、招标内容:/span/strong/ptable border="1" cellspacing="0" cellpadding="0" width="631" style="border-collapse:collapse border:none"tbodytr style=" height:30px" class="firstRow"td width="95" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"序号/span/p/tdtd width="230" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"货物名称/span/p/tdtd width="104" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"数量/span/p/tdtd width="101" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"单位/span/p/tdtd width="101" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"备注/span/p/td/trtr style=" height:30px"td width="95" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"1/span/p/tdtd width="230" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"无掩膜光刻机/span/p/tdtd width="104" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"1/span/p/tdtd width="101" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"套/span/p/tdtd width="101" style="border: 1px solid rgb(0, 0, 0) padding: 5px " height="30"p style="text-align:center text-autospace:none"span style="font-size:16px font-family:宋体"接受进口/span/p/td/tr/tbody/tablep style="margin-top:8px line-height: 150%"span style="font-size: 16px line-height:150% font-family:宋体"具体参数详见招标文件/span/pp style="line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"本项目预算金额为:span3,500,000.00/span元/span/pp style="line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"本项目评标办法为:综合评分法/span/pp style="margin-top:8px margin-right:0 margin-bottom:8px margin-left:0 line-height:150%"strongspan style="font-size:16px line-height:150% font-family:宋体"二、投标人资格要求:/span/strong/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"1./spanspan style="font-size:16px line-height:150% font-family:宋体"投标人须为国内合法注册登记的法人;/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family: 宋体"2./spanspan style="font-size:16px line-height: 150% font-family:宋体"本次招标不接受联合体投标,中标后不允许分包、转包;/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family: 宋体"3./spanspan style="font-size:16px line-height: 150% font-family:宋体"本次招标接受投标人选用进口产品参与投标,但不排斥国内产品,进口产品是指通过海关验放进入中国境内且产自关境外的产品;/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"4./spanspan style="font-size:16px line-height:150% font-family:宋体"参与本项目投标前三年内,投标人在经营活动中没有重大违法记录,不存在被有关部门禁止参与招标采购活动且在有效期内的情况;/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"5./spanspan style="font-size:16px line-height:150% font-family:宋体"投标人须在本校进行投标登记并领取招标文件。/span/pp style="margin-top:8px margin-right:0 margin-bottom:8px margin-left:0 line-height:150%"strongspan style="font-size:16px line-height:150% font-family:宋体"三、报名的时间、地点及方式:span style="color:red"(自助投递)/span/span/strong/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体"1./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"投递时间:北京时间【span2020/span】年【span10/span】月【span09/span】日至【span2020/span】年【span10/span】月【span19/span】日,每天span9:00/span至span17:00/span(节假日除外)。/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体 color:black"2./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"自助投递地点:南方科技大学span3/span号门投标报名资料投递箱。/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体 color:black"3./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"报名方式:填写《投标报名登记表》、《诚信承诺函》,并提供企业营业执照副本复印件、法定代表人证明书(含身份证复印件)、法定代表人授权委托书(含身份证复印件)、/spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"社保部门出具的被授权人近三个月社保证明资料,/spanspan style="font-size:16px line-height: 150% font-family:宋体 color:black"以上资料必须加盖公章、统一密封在一个档案袋内放入指定投递箱。是否报名成功以南方科技大学采购与招标管理部电子邮件回复为准。/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体 color:black"4./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"报名成功并领取了招标文件,而不参加投标的潜在投标人,请在开标前span3/span日以书面形式通知招标人,书面文件密封在一个档案袋内放入指定投递箱,格式自拟。/span/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体 color:black"5./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"投标人递交投标文件时提供的所有资料必须真实、有效,若发现有提供虚假资料投标的将取消其投标资格,并将上报相关部门根据国家相关规定予以处罚。/span/pp style="margin-top:8px margin-right:0 margin-bottom:8px margin-left:0 line-height:150%"strongspan style="font-size:16px line-height:150% font-family:宋体 color:black"四、质疑受理时间:/span/strong/pp style="text-indent:32px line-height:150%"span style="font-size:16px line-height:150% font-family:宋体 color:black"投标人可在【span2020/span】年【span10/span】月【span15/span】日span17:00/span前,以书面形式(加盖公章)通知招标人,书面文件密封在一个档案袋内放入指定投递箱,并将质疑文件spanWord/span版本发送至spanzhaobb@sustech.edu.cn/span,质疑受理时间以两份材料收齐时间起算,逾期不予受理。针对同一采购程序环节的质疑须在法定质疑期内一次性提出。/span/pp style="text-align:left line-height:150%"strongspan style="font-size:16px line-height: 150% font-family:宋体 color:black"五、出于疫情防控需要,此项目不邀请投标代表出席开标。请各投标人法定代表人或其授权代表人(须携带身份证原件)在本项目要求递交投标文件的时间,将投标文件递交至南方科技大学span3/span号门接收投标文件处,逾期不予受理。/span/strong/pp style="text-align:left line-height:150%"strongspan style="font-size:16px line-height: 150% font-family:宋体 color:black"六、投标截止时间、开标时间及地点:/span/strong/pp style="text-align:left text-indent:32px line-height:150%"span style="font-size: 16px line-height:150% font-family:宋体 color:black"1./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"递交投标文件时间:【span2020/span】年【span10/span】月【span21/span】日span09:30-10:00/span(北京时间)/span/pp style="text-align:left text-indent:32px line-height:150%"span style="font-size: 16px line-height:150% font-family:宋体 color:black"2./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"投标截止时间:【span2020/span】年【span10/span】月【span21/span】日span10:00/span(北京时间)/span/pp style="text-align:left text-indent:32px line-height:150%"span style="font-size: 16px line-height:150% font-family:宋体 color:black"3./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"递交投标文件地点:南方科技大学span3/span号门接收投标文件处/span/pp style="text-align:left text-indent:32px line-height:150%"span style="font-size: 16px line-height:150% font-family:宋体 color:black"4./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"开标时间:【span2020/span】年【span10/span】月【span21/span】日span11:00/span(北京时间)/span/pp style="text-align:left text-indent:32px line-height:150%"span style="font-size: 16px line-height:150% font-family:宋体 color:black"5./spanspan style="font-size:16px line-height:150% font-family:宋体 color:black"开标地点:南方科技大学创园span4/span栋span211/span开标室。/span/pp style="margin: 8px 0px text-indent: 32px line-height: 150% "span style="font-size:16px line-height:150% font-family:宋体 color:black"开标结果与评标结果,将在南方科技大学采购与招标管理部网站进行公示。/span/p
  • 复旦大学包文中课题组又发一篇Nature子刊,小型台式无掩膜光刻机助力晶圆级二维半导体的集成电路工艺
    期刊:Nature communication IF 14.92文章DOI:https://doi.org/10.1038/s41467-021-26230-x 【引言】石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能越来越吸引科研学者。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。 【成果简介】近日,复旦大学包文中教授课题组利用机器学习 (ML) 算法优化了二维半导体(MoS2)栅场效应晶体管 (FET)的制备工艺,并采用工业标准设计流程和工艺进行了晶圆器件与电路的制造和测试。文章以《Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning》为题发表于Nature Communications。本文中,晶圆尺寸器件制备的优化是先利用机器学习指导制造过程,随后使用小型台式无掩膜光刻机MicroWriter ML3进行制备,优化了迁移率、阈值电压和亚阈值摆幅等性能。 【图文导读】图1. 制备MoS2 FETs的总流程图。(a)CVD法制备晶圆尺寸的MoS2。(b)MoS2场效应管的各种截面图。(c)晶体管的表现和各类参数的关系。(d)从材料制备到芯片制备和测试的优化反馈循环。图2. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用MicroWriter ML3无掩膜激光直写机制备的正反器和(f)相应实验结果(g)使用MicroWriter ML3无掩膜激光直写机制备的加法器和(h)相应的实验结果。图3. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图4. 使用MicroWriter ML3无掩膜激光直写机在晶圆上制备MoS2场效应管。(a)在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 【结论】随着二维材料的应用和人工智能在各领域的迅速发展,如何快速开发出符合实验设计的原型芯片结构变得十分重要。由于实验过程中需要及时修改相应的参数,得到优化的实验结果,所以十分依赖灵活多变的光刻手段。从上文中可以看出,小型台式无掩膜光刻机MicroWriter ML3可以帮助用户快速实现各类逻辑结构的开发,助力微电子相关领域的研究。鉴于1套小型台式无掩膜光刻机ML3系统的优良性能和高成果产出,课题组相关研究团队继续紧追热点,把握时机再添置一套英国DMO公司新款小型台式无掩膜光刻机-ML3 Pro+0.4 μm专业版系统,力争更优的器件性能,图中所示是目前已交付正常使用的全新版系统。希望能够助力研究团队取得重要进展!
  • 复旦大学包文中课题组又发一篇Nature子刊,小型台式无掩膜光刻机助力晶圆级二维半导体的集成电路工艺
    期刊:Nature communication IF 14.92文章DOI:https://doi.org/10.1038/s41467-021-26230-x 【引言】 石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能越来越吸引科研学者。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。 【成果简介】 近日,复旦大学包文中教授课题组利用机器学习 (ML) 算法优化了二维半导体(MoS2)栅场效应晶体管 (FET)的制备工艺,并采用工业标准设计流程和工艺进行了晶圆器件与电路的制造和测试。文章以《Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning》为题发表于Nature Communications。本文中,晶圆尺寸器件制备的优化是先利用机器学习指导制造过程,随后使用小型台式无掩膜光刻机MicroWriter ML3进行制备,优化了迁移率、阈值电压和亚阈值摆幅等性能。 【图文导读】图1. 制备MoS2 FETs的总流程图。(a)CVD法制备晶圆尺寸的MoS2。(b)MoS2场效应管的各种截面图。(c)晶体管的表现和各类参数的关系。(d)从材料制备到芯片制备和测试的优化反馈循环。图2. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用MicroWriter ML3无掩膜激光直写机制备的正反器和(f)相应实验结果(g)使用MicroWriter ML3无掩膜激光直写机制备的加法器和(h)相应的实验结果。图3. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图4. 使用MicroWriter ML3无掩膜激光直写机在晶圆上制备MoS2场效应管。(a)在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 【结论】 随着二维材料的应用和人工智能在各领域的迅速发展,如何快速开发出符合实验设计的原型芯片结构变得十分重要。由于实验过程中需要及时修改相应的参数,得到优化的实验结果,所以十分依赖灵活多变的光刻手段。从上文中可以看出,小型台式无掩膜光刻机MicroWriter ML3可以帮助用户快速实现各类逻辑结构的开发,助力微电子相关领域的研究。 鉴于1套小型台式无掩膜光刻机ML3系统的优良性能和高成果产出,课题组相关研究团队继续紧追热点,把握时机再添置一套英国DMO公司新款小型台式无掩膜光刻机-ML3 Pro+0.4 μm专业版系统,力争更优的器件性能,图中所示是目前已交付正常使用的全新版系统。希望能够助力研究团队取得重要进展!
  • 再添新力!小型台式无掩膜光刻机(MicroWriter)再度落户南京大学
    作为全球微纳加工领域的明星产品,由英国皇家科学院院士Russell Cowburn教授团队研制的无掩膜直写光刻机(Durham Magneto Optics, MicroWriter ML3)再度落户南京大学微制造与集成工艺中心,助力南京大学在微纳电子、光机电、微流控等诸多重点研究领域的发展。无掩膜直写光刻机(MicroWriter ML3)进入国内科研领域已有将近十年,在包括清华大学、北京大学、中国科技大学、南京大学、复旦大学、中科院等重点高校和研究机构已经积累了超过百位用户。其操作友好,维护简单,特别是无掩膜版直写曝光的特点大地优化了设计成本和研究效率,深受广大科研用户的喜爱。图1 南京大学微制造与集成工艺中心 近期,南京大学现代工学院徐挺教授研究组在微制造与集成工艺中心成功安装了二套MicroWriter ML3系统(如上图右上方所示,套安装于2019年)。结合新硬件配置,该系统可以实现高0.4 μm的限分辨率,同时拥有包括0.4 μm,0.6 μm,1 μm,2 μm和5 μm五种特征分辨率镜头,可以实现不同精度下的快速曝光应用。结合无掩膜版图设计,方便科研人员随时尝试修改曝光图形,并可以通过设备特有的虚拟掩膜(Visual Mask aligner)功能实现实时观测对准(如图2所示),大地提高了科研工作的时效性和便捷性。图2. (左)虚拟掩膜对准的实时界面(蓝色区域是要曝光的电图案)及(右)终曝光显影结果图3. 0.6 μm宽度的线条阵列曝光结果及局部细节图4. 0.4 μm孔径的点阵曝光结果及局部细节同时,为了丰富科学研究的诸多应用环境,MicroWriter配置了丰富的选装硬件,提供包括波长为405 nm,385 nm和365 nm的光源系统,或者选装双光源系统;针对不同曝光速度和应用精度,可以选择5种曝光精度的物镜镜头;也可以选配带有背面对准功能的镜头配置,实现背面对准,正面曝光应用;还可以实现多层次要求的3D灰度曝光;另外,曝光过程中的实时聚焦和温度修正功能也可以在大面积曝光过程中修正镜头焦距和导轨定位。软件方面,MicroWriter同样配置多种使用功能,包括可以实现自动对准的快速定位功能;可以提供表面形貌轮廓结果的表面分析仪;可以提供实时对准的虚拟掩膜功能;还可以实现多片、多任务的一次性曝光并进行统一结果分析的便捷应用。MicroWriter无掩膜光刻直写机的广泛应用在助力国内科研发展的同时,也在全球其他知名单位,包括斯坦福大学、伯克利大学和美国航天局等,获得持续应用和好评。时隔两年,南京大学微制造和集成工艺中心的二套MicroWriter的落户应用,也大地证明了国内研究单位对其广泛应用及可靠性的认可。同时结合国内外强大技术团队的持续跟进,MicroWriter必将更好、更快、更强地助力南京大学研究组在微纳研究前沿上取得更大进步!
  • 国产光刻机及关键核心零部件研发进展
    p style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息… … /span/pp style="text-align:center text-indent:29px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-size: 15px line-height: 150% font-family: 宋体 "网传华为自研光刻机/span/strongstrong/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "1、 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。/span/pp style="text-align:center text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strong02/strongstrongspan style="font-family: 宋体 "专项核心零部件研发进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "双工件台系统完成验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“极紫外光刻关键技术研究”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“超分辨光刻装备研制”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他项目紧锣密鼓进行中/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。/span/pp style="text-align:center line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他团队光刻机研究进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,… … 虽是等于为帝王将相作家谱的所谓" 正史" ,也往往掩不住他们的光耀,这就是中国的脊梁… … ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。/span/ppbr//p
  • 喜报!--昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理!
    喜报!昊量光电喜获法国Microlight3D DMD无掩模光刻机独家代理。上海昊量光电设备有限公司自2023年1月1日正式成为Microlight3D公司SMART PRINT UV系列DMD无掩光刻系统的中国区独家代理商,此次获得Microlight3D的授权,体现了Microlight3D对上海昊量光电设备有限公司市场销售的专业度及售后技术支持力量的高度认可。我们将一如既往的为国内广大用户提供更为优质的服务。Microlight3D成立于2016年,在格勒诺布尔阿尔卑斯大学(Université Grenoble Alpes,UGA)进行了长达15年的3D微型打印技术研发。格勒诺布尔阿尔卑斯大学创建于1339年,是一所拥有近七百年历史的国立综合研究型大学,是欧洲最古老的大学之一,教学科研实力处于法国顶尖、世界一流水平。作为具有世界影响力的法国公立大学,格勒诺布尔-阿尔卑斯大学先后诞生过3位诺贝尔奖获得者(克劳斯冯克利青、路易奈尔、热拉尔穆鲁),1位图灵奖获得者(约瑟夫斯发基斯)。Microlight3D公司一直在快速发展,得益于Smart Print UV System在世界各地的实验室和公立私立研究中心的大量销售。Microlight3D公司推出的DMD无掩模光刻系统Smart Print UV系列,是一种基于DMD投影技术的无掩模光刻设备,可兼容多种抗蚀剂和基材。Smart Print UV可以在微米分辨率下产生任何2D形状,而不需要硬掩模。https://www.auniontech.com/details-392.html 点击查看详请Smart Print UV 系统特点:&diams 最小特征尺寸1.5um&diams 可更换目标的可调节写入区域和分辨率&diams 兼容CAD文件及bitmap文件&diams 兼容SU-8、g线、h 线、i 线等绝大部分光刻胶&diams 兼容多种基材(硅、玻璃、柔性薄膜、金属、塑料等)&diams 兼容多种样品尺寸:2''和4''晶圆、4''和5''方形或者定制更大尺寸、显微镜矩形载玻片、14mm和25mm圆形载玻片&diams 反馈相机:聚焦,对齐,准直&diams 手动旋转台:360°可旋转,精度 0.1°&diams 桌面型,占地空间小&diams 曝光速度相比同类型产品更快!&diams 极具性价比!&diams 软件操作和设备维护简单!&diams 交货周期短!&diams 可免费打样! Smart Print UV 功能选件:&diams 1X Objective 磁吸快速更换镜头 10.6 mm x 5.9 mm FOV and 15 µ m resolution &diams 5X Objective 磁吸快速更换镜头2.1 mm x 1.2 mm FOV and 3 µ m resolution &diams 10X Objective 磁吸快速更换镜头1.06 mm x 0.59 mm FOV and 1.5 resolution&diams 4" 晶圆样品支架 &diams 玻璃载玻片样品支架&diams 多用途大型样品支架兼容4" & 5" 方形基板, 2" & 4" 晶圆, 显微镜载玻片, 14 mm & 25 mm 圆形载玻片&diams 定制化样品支架根据客户要求,可适应更大样品尺寸或多个样品;兼容柔性膜材基底(New!) &diams 保修期延期设备保修期2年,软件免费升级2年Smart Print UV 核心规格:型号SP-UV.StandardSP-UV.Advanced光源曝光波长:385nm;校准波长:590nm最小特征尺寸1.5um对准准度(1cm2区域)2um1um拼接精度 2um<1um最大曝光范围70×70mm2110×110mm2基板尺寸4英寸(wafer)5英寸(方形)写入速度77mm2/min220mm2/min 系统尺寸 长×宽×高:52(cm)×52(cm)×69(cm)软件包:电脑Windows 10Pro, 24inch屏幕SFTprint软件机器控制、步进重复、自动剂量测试、拼接、对齐SFT转换器将标准CAD格式(gdsii、dxf、cif、oas)转换为机器格式;包含CAD软件镜头选项:物镜1X2.5X5X10X写入区域(mm)10.56×5.944.2×2.42.1×1.21.06×0.59最小线宽(um)15631.5Smart Print UV 应用领域:Smart Print UV是为需要制作表面微图案、微结构的任何应用领域的完美设计,如MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等。 Microlight3D公司还有另外一款明星产品-双光子聚合3D纳米光刻机。2007年,第一代双光子聚合3D纳米光刻机microFAB-3D问世,在中国大陆、台湾和欧洲地区有大量的销售。2018年,公司获得了法国研究与创新部颁发的公共研究领域最具创新力年轻公司奖。2019年,推出了第一代紧凑型桌面式双光子聚合3D纳米光刻机。2019年,Microlight3D完全收购了无掩模光刻系统制造商SmartForceTechnologies。未来,上海昊量光电设备有限公司将获得Microlight3D更多的资源支持,昊量光电也将继续秉承互利共赢的发展理念,充分发挥自身强大的专业技术沟通和服务优势,力争为国内MEMS,微流体,二维材料,自旋电子学,生物技术和微电子等应用领域的研究和推广贡献一份力量,满足并不断超越客户的期望,致力于为国内前沿的科研与工业领域提供优质的产品与服务,助力中国智造与中国创造。如果您对DMD无掩模光刻机感兴趣,请访问上海昊量光电的网页,欢迎继续关注上海昊量光电的各大媒体平台,我们将不定期推出各种产品介绍与技术新闻。更多详情请联系昊量光电/欢迎直接联系昊量光电关于昊量光电:上海昊量光电设备有限公司是光电产品专业代理商,产品包括各类激光器、光电调制器、光学测量设备、光学元件等,涉及应用涵盖了材料加工、光通讯、生物医疗、科学研究、国防、生物显微、物联传感、激光制造等;可为客户提供完整的设备安装,培训,硬件开发,软件开发,系统集成等服务。您可以通过我们昊量光电的网站了解更多的产品信息,或直接来电。
  • 中科院化学所预算7957万元采购光刻机、拉曼光谱、电镜等仪器
    仪器是科学创新的重要基础和条件,科学发现不仅仅需要理论创新,还需要依靠仪器进行实验观察和检测。中国科学院化学研究所作为具有重要国际影响、高水平的研究机构,在高分子科学、物理化学、有机化学、分析化学、无机化学等领域,面向世界科技前沿,取得了一系列杰出研究成果,其科研开展离不开仪器的支持。根据《财政部关于开展政府采购意向公开工作的通知》(财库〔2020〕10号)等有关规定,为优化政府采购营商环境,提升采购绩效,相关单位需公开政府采购意向,内容应包括项目名称、需求概况、预算金额、采购时间等。作为仪器使用大户,中国科学院化学研究所于近日公布了26项仪器类政府采购意向,预算金额相加达7957万元,采购品目包括光刻机、X射线衍射仪、拉曼光谱仪、扫描电镜、透射电镜等诸多仪器类型,预计采购时间为2022年6月。中国科学院化学研究所2022年6月仪器采购意向汇总表序号采购项目预算金额(万元)项目详情1喷墨打印设备350详情链接2激光雕刻头150详情链接3紫外光刻机296详情链接4等离子体刻蚀机261详情链接5连续印刷狭缝涂布机500详情链接6单片狭缝涂布机100详情链接7快速停流吸收光谱仪211详情链接8快速停流荧光光谱仪212.1详情链接9显微共焦拉曼光谱仪195详情链接10X-射线衍射仪630详情链接11皮秒光参量放大器160详情链接12皮秒激光放大器130详情链接13飞秒激光振荡器100详情链接14飞秒激光放大器105详情链接15低温强磁场扫描探针显微镜550详情链接16半自动探针台140详情链接17低温强磁场共聚焦显微镜表征系统540详情链接18场发射透射电子显微镜950详情链接19高分辨扫描电子显微镜497详情链接20聚焦离子束设备450详情链接21扫描离子电导显微镜150详情链接22低温综合物性测量系统450详情链接23激光扫描共聚焦显微镜430详情链接24微通道反应装置150详情链接25等温滴定微量热仪130详情链接26富勒烯制备装置120详情链接
  • 清华团队新成果在《自然》发表:有望解决光刻机自主研发难题
    2月25日,清华大学工程物理系教授唐传祥研究组与来自亥姆霍兹柏林材料与能源研究中心(HZB)以及德国联邦物理技术研究院(PTB)的合作团队在《自然》(Nature)上发表了题为《稳态微聚束原理的实验演示》(Experimental demonstration of the mechanism of steady-state microbunching)的研究论文,报告了一种新型粒子加速器光源“稳态微聚束”(Steady-state microbunching,SSMB)的首个原理验证实验。基于SSMB原理,能获得高功率、高重频、窄带宽的相干辐射,波长可覆盖从太赫兹到极紫外(EUV)波段,有望为光子科学研究提供广阔的新机遇。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。“我国EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的‘卡脖子’难题。”唐传祥说。SSMB原理验证实验示意图。 图源《自然》SSMB原理验证实验结果。 图源《自然》光刻机是芯片制造中必不可少的精密设备SSMB概念由斯坦福大学教授、清华杰出访问教授赵午与其博士生Daniel Ratner于2010年提出。赵午持续推动SSMB的研究与国际合作。2017年,唐传祥与赵午发起该项实验,唐传祥研究组主导完成了实验的理论分析和物理设计,并开发测试实验的激光系统,与合作单位进行实验,并完成了实验数据分析与文章撰写。唐传祥教授和HZB的Jörg Feikes博士为论文通讯作者,清华工物系2015级博士生邓秀杰为论文第一作者。“SSMB光源的潜在应用之一是作为未来EUV光刻机的光源,这是国际社会高度关注清华大学SSMB研究的重要原因。”唐传祥介绍。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。光刻机的曝光分辨率与波长直接相关,半个多世纪以来,光刻机光源的波长不断缩小,芯片工业界公认的新一代主流光刻技术是采用波长为13.5纳米光源的EUV(极紫外光源)光刻。EUV光刻机工作相当于用波长只有头发直径一万分之一的极紫外光,在晶圆上“雕刻”电路,最后将让指甲盖大小的芯片包含上百亿个晶体管,这种设备工艺展现了人类科技发展的顶级水平。荷兰ASML公司是目前世界上唯一的EUV光刻机供应商,每台EUV光刻机售价超过1亿美元。新成果有望解决自主研发光刻机的“卡脖子”难题唐传祥介绍,大功率的EUV光源是EUV光刻机的核心基础。目前ASML公司采用的是高能脉冲激光轰击液态锡靶,形成等离子体然后产生波长13.5纳米的EUV光源,功率约250瓦。而随着芯片工艺节点的不断缩小,预计对EUV光源功率的要求将不断提升,达到千瓦量级。“简而言之,光刻机需要的EUV光,要求是波长短,功率大。”唐传祥说,“大功率EUV光源的突破对于EUV光刻进一步的应用和发展至关重要。基于SSMB的EUV光源有望实现大的平均功率,并具备向更短波长扩展的潜力,为大功率EUV光源的突破提供全新的解决思路。”唐传祥指出,EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的“卡脖子”难题。这需要SSMB EUV光源的持续科技攻关,也需要上下游产业链的配合,才能获得真正成功。《自然》评阅人对该研究高度评价,认为 “展示了一种新的方法论”,“必将引起粒子加速器和同步辐射领域的兴趣”。《自然》相关评论文章写到“该实验展示了如何结合现有两类主要加速器光源——同步辐射光源及自由电子激光——的特性。SSMB光源未来有望应用于EUV光刻和角分辨光电子能谱学等领域。”目前,清华正积极支持和推动SSMB EUV光源在国家层面的立项工作。清华SSMB研究组已向国家发改委提交“稳态微聚束极紫外光源研究装置”的项目建议书,申报“十四五”国家重大科技基础设施。
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 飞秒激光无掩膜光刻拓扑结构及细胞球浸润机制新进展
    随着组织工程领域的发展,生物材料界面与细胞的相互作用及物理机制成为研究热点。生物界面的拓扑形貌可以有效调控细胞行为并影响细胞功能。而体内的一些生理过程如胚胎发育、免疫应答和组织更新与重塑等往往涉及多细胞的集体行为。肿瘤的侵袭和转移也与集体细胞的协调运动有关。细胞球作为一种体外三维细胞培养模型,具有强烈的细胞-细胞相互作用,可在细胞生理学、信号通路、基因和蛋白表达以及气体/营养物质梯度等方面更好地模拟体内环境。因此,明确材料表面拓扑结构与细胞球的相互作用对探究体内生理、病理机制具有重要意义。然而,当前同时具有厘米级尺度和微纳米精度的跨尺度微纳拓扑结构尚难以快速制备。   近日,中国科学院理化技术研究所仿生智能界面科学中心有机纳米光子学实验室研究员郑美玲团队在跨尺度微纳拓扑结构制备及细胞球浸润性调控方面取得了新进展。该团队提出采用飞秒激光无掩膜投影光刻技术(MOPL)制备大面积兼具高精度的微盘阵列拓扑结构以研究细胞球的浸润性。该研究发现细胞球在多种不同单元直径的微盘阵列拓扑结构上展示出不同的浸润速度。研究通过分析细胞形态、骨架分布和细胞黏附,解析了细胞球浸润速度的变化机制,并发现了细胞球在大尺寸和小尺寸的微盘结构单元上采取不同的浸润模式。该研究揭示了细胞球对跨尺度微纳拓扑结构的响应机制,为探讨组织浸润行为提供了参考。   MOPL是一种高效率且能灵活化地制备微纳拓扑结构的技术。考虑到单个细胞的尺寸以及细胞球浸润过程中与大面积拓扑结构的相互作用,该工作利用MOPL技术制备了高度低于1μm,且拓扑单元直径分别为2、5、20和50 μm的大面积(8 mm × 10 mm)微盘阵列结构(图1)。   该研究采用超低吸附法制备了大小均一的人肾透明细胞癌细胞的细胞球。进一步,科研人员利用激光扫描共聚焦荧光显微镜对细胞球在微盘阵列拓扑结构上的动态浸润行为进行观察。细胞球在一系列微盘阵列拓扑结构上发生了完全浸润并展现出不同的浸润面积。结合细胞球铺展理论,通过量化不同时间点的细胞球浸润面积,研究发现细胞球的浸润速度在2、5、50和20 μm直径的微盘结构单元上依次减小,且细胞球在直径为20 μm的微盘结构单元上具有较小的细胞-基底黏附能(图2)。   进一步地,研究人员利用免疫荧光染色分析了多种不同微盘结构上的细胞形态、肌动蛋白和黏着斑分布,提出了细胞球在直径2μm和5 μm的小尺寸的微盘结构上采取攀爬模式浸润,以及在直径20μm和50 μm的较大尺寸的微盘结构上采取绕行模式浸润(图3)。细胞球的浸润过程表现为一种多细胞的集体协调运动。   该研究揭示了细胞球在各向同性微盘阵列拓扑结构表面的浸润机制,深化了对于细胞球与界面拓扑结构相互作用的认知。本工作是飞秒激光面投影纳米光刻技术及应用的拓展。相关研究成果发表在Small上。研究工作得到国家重点研发计划“纳米科技”重点专项、国家自然科学面上基金项目和中科院国际伙伴计划等的支持。
  • ASML最新光刻机曝光:20亿一台
    众所周知,制造7nm及以下工艺的芯片,需要用到EUV光刻机,而全球仅有ASML能够生产。ASML在2015年,就推出了第一代EUV光刻机WINSCAN NXE:3400B,之后在2019年推出了NXE:3400C,2021年推出了NXE:3600D。不过据称,NXE:3600D型号的EUV光刻机,支持的工艺可能仅到3nm,如果要制造2nm的芯片,光刻精度还要提升,需要新一代的High-NA极紫外光刻机才行。而光刻精度怎么提升,就是数值孔径的提升了, 前几代光刻机,比如3400B/C、3600D的数值孔径都是0.33NA的,解析度(精度)为13nm,单次构图间距为32nm到30nm。而要生产2nm的芯片,数值孔径要变为0.55NA,也就是解析度(精度)为8nm,这样可以更更快更好地曝光更复杂的集成电路图案,同时单次构图间距低于30nm。这种新的EUV光刻机叫做型号,就叫做EXE:5200,目前ASML已经有了规划,预计在2024年底,或者2025年交付。而基于0.55NA数值孔径的光刻机,光刻分辨率将允许芯片缩小1.7倍、同时密度增加2.9倍,其处理晶圆的能力是每小时处理220片12寸晶圆左右,真正用于制造3nm以下的芯片。一小时处理220片12寸的晶圆,其产能有多大?如果是苹果A16这样的芯片,一块晶圆可以切割600块左右,理论上一台这样的光刻机,一年可以就光刻10亿颗以上… … 至于价格方面,ASML表示,其0.55NA的下一代EUV光刻机单价将达到3亿多美元(约合20亿元人民币)。至于买家,当然只有台积电、三星、英特尔三家才有资格购买,其它的晶圆厂,能够买到0.33NA的EUV光刻机,就已经非常不错了,不要想这种0.55NA的。当然,如果不生产7nm及以下的晶圆,EUV光刻机都不需要,DUV就够了,更就不用纠结这3亿多美元一台的0.55NA的EUV光刻机了。
  • 预算3300万!上海交通大学采购DUV光刻机
    近日,上海交通大学发布招标公告,采购深紫外步进式光刻机,预算达3300万元。以下为公告详情:上海交通大学电子信息与电气工程学院深紫外步进式光刻机国际招标公开招标公告(重招)项目概况上海交通大学电子信息与电气工程学院深紫外步进式光刻机 招标项目的潜在投标人应在上海市共和新路1301号C座110室获取招标文件,并于2021年03月03日 09点30分(北京时间)前递交投标文件。一、项目基本情况项目编号:0834-2141SH21A033项目名称:上海交通大学电子信息与电气工程学院深紫外步进式光刻机预算金额:3300.0000000 万元(人民币)最高限价(如有):3300.0000000 万元(人民币)采购需求:序号货物名称数量简要技术规格交货期交货地点1深紫外步进式光刻机1套曝光光源: 深紫外准分子激光,波长248nm。分辨率:£ 150nm(详见第八章)买方发出发货通知后4个月发货。关境外货物:CIP上海交通大学关境内货物:上海交通大学合同履行期限:买方发出发货通知后4个月本项目( 不接受 )联合体投标。
  • 小型台式无掩膜光刻机助力开发新型晶体管实现新冠肺炎快速筛选
    【引言】在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断方法包括血清学和病毒核酸测试,主要是以分析逆转录聚合酶链反应作为金标准,此方法在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求,因此,亟需发展一种快速的监测方法应对新冠疫情。 【成果简介】近期,复旦大学魏大程教授课题组利用MicroWriter ML3小型台式无掩膜光刻机制备出基于石墨烯场效应晶体管(g-FET)的生物传感器。该传感器上拥有Y形DNA双探针(Y-双探针),可灵敏且快速的实现新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向新冠病毒核酸的两个目标基因区域:ORF1ab和N基因,从而实现更高的识别率和更低的检出限(0.03份μL−1)。这一检出限比现有的核酸分析低1-2个数量,可避免混检过程中样本病毒载量较低而产生漏网之鱼,实现的混合测试。该传感器也具有快的检测速度,快的核酸检测速度约为1分钟。由于快速、超灵敏、易于操作等特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的应用前景。 【图文导读】图1. 基于g-FET的Y形双探针生物传感器的制备和表征。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)封装好的器件。图中的比例尺为1 cm。(d)石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。图2. Y形双探针g-FET生物传感器进行SARS-CoV-2核酸测试的性能表现。(a)SARS-CoV-2核酸样本准备流程。(b)和(c)ΔIds/Ids0随着SARS-CoV-2 IVT-RNA增加的实时改变。(d)ΔIds/Ids0随着SARS-CoV-2 IVT-RNA或cDNA浓度的变化曲线图。(e)ΔIds/Ids0在检测到人类,SARS-CoV和SARS-CoV-2的cDNA和IVT-RNA时的反应。所有数据源自三个不同的传感器。图3. Y形DNA探针和ss-DNA探针的g-FETs生物传感器的测试表现对比。(a, b)在石墨烯上的ss-DNA探针和Y形DNA探针的AFM表征结果。(c, d)分别为ss-DNA和Y形DNA探针在g-FETs生物传感器上的示意图。(e)不同探针下ΔVDirac在SARS-CoV-2 cDNA浓度为0.03到500份/100μL的人工唾液中的变化。(f)利用Y-A探针和Y形探针的传感器的ΔVDirac随着SARS-CoV-2 cDNA浓度的变化。(g)sy-DNA浓度在1x10-15至1x10-12M的条件下,Y-A探针和A探针在0.01xPBS条件下的ΔVDirac变换。(h)暴露在浓度为1μM下的目标DNA生物传感器的ΔVDirac变化。所有数据源自三个不同的传感器。图4. 测试临床SARS-CoV-2样本结果。(a)在添加人体H1和临床P1的样本后ΔIds/Ids0随时间的变化曲线。插图中所示的是诊断P1所用的时间。(b)ΔIds/Ids0在添加P1-P7和H1-H7后的变换。图中偏上的插图为P1-P7诊断时间的箱型图,图中偏下的插图为H1-H7的ΔIds/Ids0值。(c)g-FET传感器中的的ΔIds/Ids0随着P1浓变化的实时反馈结果。(d)五合一SARS-CoV-2核酸集体检测示意图。(e)在添加阳性样本B1-B6和阴性样本A1-A6后,Y形双探针g-FETs生物传感器的ΔIds/Ids0事实变化结果。插图为在添加集体样本A6和B6后ΔIds/Ids0随时间的变换。(f)Y形双探针g-FETs生物传感器的测试速度与其他检测SARS-CoV-2核酸方法速度的对比。【结论】魏大程教授课题组所研发的Y形双探针g-FETs生物传感器,是一种适用于大规模流行病筛选的新手段,突破了传统筛选手段诊断时间长和不能混合检测的瓶颈,在流行病筛选方面有巨大的应用前景。同时,从文中也可以看到随着流行病检测领域的需求逐渐增多,如何快速开发出符合需求的生物传感器显得十分重要。由于实验过程中需要及时修改相应的参数,得到优化的实验结果,十分依赖灵活多变的光刻手段。MicroWirter ML3小型台式无掩膜光刻机可以任意调整光刻图形,帮助用户快速实现原型芯片的开发,助力流行病检测领域的研究。【参考文献】[1]. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay,J. Am. Chem. Soc. 2021, 143, 41, 17004–17014
  • 从“小破厂”到全球一哥,光刻机巨头ASML的周期逆袭史
    随着2022年疫情起伏,一些城市进入封闭和静态管理节奏,很多创业公司也进入经营的艰难时刻。穿越周期对任何公司来说都并不容易,此刻我们想梳理和研究一些公司,它们或是某个硬科技领域的隐形冠军,或是一些重要赛道的著名公司,来看看艰难时期它们是怎么成长的。  第一篇我们选择了阿斯麦ASML,“光刻机”作为一个赢者通吃的硬科技领域,在它身上显现了太多科技公司发展的要素——如何押注正确的技术路径?是选择渐进式创新还是颠覆式创新?如何在短时间内做出正确的战略决策?如何发挥出高效的执行力?……这些也都是当下硬科技公司所需要面对的难题。ASML从一个被抛弃的研发项目,屡次走在破产边缘,到如今成为全球光刻机霸主,它如何度过那些绝境时刻?如何小心翼翼地穿越周期?尽管很多公司的成功不可复制,但这样的故事依然是引发思考的绝佳养料。  “他们不来了?他们不来了?他们不能这样做!” ASML总裁兼首席技术官马丁范登布林克差点把他的电话机砸烂。1991年因为海湾战争的爆发,出于安全考虑很多跨国公司禁止高管乘坐飞机。  “他们”指的是IBM,因为这样的禁令,IBM的高管无法来与ASML进行最后的合同谈判。但此时ASML的财务状况几乎进了ICU,如果拿不到IBM的订单,1991年的ASML就会破产。ASML压上了全部身家,为了IBM的订单疯狂努力了好几年,这些努力,都会因为这场跟他们毫无关系的战争而化为泡影。  1991年的ASML,还远不是我们今天看到的ASML。今天ASML风光无限,光刻机被称为现代工业皇冠上的明珠,是制造芯片的核心设备,全世界只有少数几家公司拥有这样的技术。中国芯片产业最大的短板就是EUV光刻机,而这家荷兰公司占有45nm以下高端光刻机80%的市场,而在极紫外光(EUV)领域,ASML是全球独家生产者。  但曾经的ASML,无数次走在资金链断裂的边缘,小心翼翼地穿越经济周期。贯穿始终的,是ASML对技术路径的卓越把握和几乎无止境的研发投入。从推出PAS 2500在光刻机领域站稳脚跟,随后经过改进的PAS 5500进入头部行列,到与台积电合作成果研制浸没式光刻机系列,一举奠定霸主地位。  再到2010年推出第一台EUV光刻机原型,以及通过外延并购形成整体光刻产品组合,从ASML的发展历程中可以看出,要想做出一家战略级硬科技公司,是需要冒多么大的风险,有着多么大的决心,花费多么大的资金,才有可能成长起来。  我们通过书籍、券商研报、媒体报道等资料,研究了ASML的发展史,并结合对硬科技的投资逻辑总结了一些观点。以下,Enjoy:  经济危机救了ASML:我们看到ASML是怎么小心翼翼地穿越经济周期,回过头来看惊诧地发现,其实经济危机救了它   硬科技的艰难抉择——押注改进还是颠覆:ASML真正的崛起里程碑,是选对了技术路径,但有时候成功来自于渐进式创新,有时候又来自于颠覆式创新,选对了一飞冲天,选错了万丈深渊,我们来看看ASML的启示   关键转折点——贵人相助与敌人犯错:企业要想成功,离不开盟友助力与敌人犯错,台积电是ASML的贵人,两家力推的浸没式光刻技术,打败了当时流行的干式光刻技术,这也源自敌人尼康、佳能的错误。当运气来了,要怎么抓住它,看看ASML是怎么做的   合作才能走得更远:今天的ASML 90%的零件其实是外购的,它是一家集成商,背后是美国、日本、欧洲、中国台湾、韩国多家公司与研究所的技术支撑,最终才能量产出极度复杂的EUV光刻机,合作与形成利益共同体是长远之道。  1  经济危机救了ASML  “坐视我们这种高风险企业快速倒闭,是典型的荷兰人做法。如果我们办公室的灯连续13个晚上亮着,政府劳工检验员会要求查看我们的工作许可证。但我们要把一个关键的战略产业拱手让给美国和日本吗?那我只能说,你们以后就去快乐地挤牛奶、搅黄油和种郁金香吧。” 德尔普拉多曾愤愤不平地在接受媒体采访时说。  德尔普拉多是ASM的创始人,他在1984年接手了被飞利浦抛弃的光刻机研发团队,成立了合资公司ASML。ASM是制造芯片生产设备的,但无论从技术和规模上,飞利浦都看不上ASM,所以在寻找接手方时,连谈判的机会都没有给它。  德尔普拉多是个猛人,他几乎吃饭、睡觉和呼吸都在ASM,他的魅力、野心和无畏展露无遗。ASM有欣欣向荣的一面,但也有深陷泥沼的一面。欣欣向荣的是,ASM是荷兰经济惨淡景象中的一颗璀璨明珠,正从一家设备分销公司转型为独立设备制造商,收入开始增长 但深陷泥沼的一面是连年的亏损、不大的规模、面临众多技术先进的竞争对手……普拉多一直在用“芯片是战略产业”这一点来吸引荷兰政府资金的投入,但政府耐心也有限。  直到1983年,飞利浦在其他人那里碰了一鼻子灰,在经历了和3家公司谈判失败后,所有人都士气低落。而ASM在纳斯达克的成功上市,令飞利浦看到也许ASM还是有钱的。在飞利浦高层再一次明确必须放弃像光刻机这样的非核心业务后,必须抓住最后一次机会来挽救光刻机团队,阻止裁员的发生。  飞利浦光刻机项目早期的产品SiRe1 图片来源:Lithography giant:ASML's rise  于是,ASM作为最后一根稻草,会谈开始了。这场会议只持续了1个小时15分钟。“对不起,失陪一小会儿。”普拉多与飞利浦光刻机团队负责人克鲁伊夫聊了15分钟后,他走出房间与团队商量。将近一个小时过去了,他才回来,然后说:“让我们一起做吧。”  光刻机业务符合普拉多的雄心壮志,他制造了芯片生产过程中每一道工序所需要的机器,但唯独缺乏最具战略性的光刻机。  但合并一个光刻机团队也是巨大的冒险。在这场谈判的一年前,ASM的收入才3700万美元,然而仅新一代步进光刻机的研发费用,就将远远超过5000万美元。并且与光刻机所需的先进技术相比,ASM以前掌握的技术简直不值一提。  一家小公司与巨头合作,话语权往往落在谁更需要谁。先进技术令飞利浦在新成立的合资公司ASML中享有很大话语权,为了获得飞利浦Natlab技术实验室的后续访问权限,ASM不得不答应在新公司中与飞利浦平分股权。  飞利浦在交易中还想尽可能节约资金,财务部门起草了一份详细的合资企业必须支付的费用清单,包括为制造20台步进光刻机所需订购的零件和材料费用,以至于“这家新公司买杯咖啡就会破产”。  这就是ASML艰难的成立史,它像一艘好不容易凑齐水手、仍在四处漏水的小船,一边修补一边扬帆起航。这个艰难的开始,与后面ASML所要面临的困难相比,也只是九牛一毛。  从ASML成立的1984年开始,后面连续3年遭遇了市场长时期衰退,行业增长陷入停滞。但研究ASML的学者们提出了一种观点,市场崩溃最终证明是对公司的天赐之物。  为什么说经济危机救了ASML?  荷兰高科技学院(HTI)的董事总经理瑞尼雷吉梅克,以及诸多ASML的早期员工都认为,经济危机打击了当时的巨头,但奇迹般地给了ASML喘息的时间,让它有足够的时间来重塑其研发和生产部门,因为当时刚刚起步的ASML,走错了油压技术路线、装配厂也还根本无法生产真正的大订单。那时如果芯片设备市场特别好,而ASML却卖不出光刻机,那么ASML会立刻失败。  另一方面,由于ASML的定位是光刻机集成商,一些零部件还需要依靠上游生产商,比如镜头,就需要德国蔡司生产,但蔡司当时的产能情况也非常糟糕。如果市场在1984年高速增长,蔡司都无法满足当时光刻机老大GCA的需求,更不可能给ASML足够的供应。  当然,这些认知是用后视镜来看,由ASML早期管理层总结出来的。但在1987年秋天,当时没有人能够感受到这种奇迹。  在经济衰退的这三年,刚刚起步的ASML主要在修炼内功,从一个士气低落、被抛弃的团队,逐渐变成一个自力更生的开发团队,物流和大规模生产系统也趋于成熟,销售和营销也已成为一股重要力量。  这种艰难开局还奠定了一个坚实的心理基础——要坚持熬过周期,在后来ASML多次濒临破产边缘时,都跟ASML在第一天就面临的困难一样。  工人正在超净室里组装 图片来源:Lithography giant:ASML's rise  2  硬科技的艰难抉择——押注改进还是颠覆  “等你卖了20台光刻机后,再回来找我谈。”  时任ASML CEO斯密特在加州一场世界一流的芯片设备展上备受打击,他到处宣扬飞利浦的光刻机项目起死回生了,但得到的反馈寥寥无几。当时的光刻机巨头是美国GCA和新崛起的日本尼康,装机量(在客户工厂中运行的机器数量)是所有人关心的关键指标,GCA和尼康已经达到数百台,而ASML还是零。  这个指标之所以重要,是因为光刻机过于复杂,以至于光刻机供应商需要配备大量服务工程师,以应对突发情况。一些微小的因素就会导致光刻机出现问题,实践经验非常重要。  带着绝望的心情,斯密特回到了荷兰,他除了觉得芯片行业充满活力之外,其他都是沮丧的消息。绝境逼人思考,当他回顾在整个差旅中看的一切时,似乎在黑暗中有一丝光线若隐若现。  当时,整个芯片行业即将跨越一个难关,这为设备制造商创造了机会。在加州的展会上,每个人都在谈论摩尔定律,谈论下一代机器——从大规模集成电路(LSI)到超大规模集成电路(VLSI)。  显然在未来几年内,芯片线路将缩小到1/1000毫米以下,光刻机处理的将不再是4英寸的晶圆,而是6英寸的晶圆。  随着这个转变,超大规模集成电路需要新一代光刻机,这种机器要能够将0.7微米的细节成像到晶圆上,并实现更紧密的微电子集成。在所有的坏消息中,唯一的好消息就是,还没有人找到制造这种光刻机的方法。  大门虽关闭,但窗户已打开。斯密特与团队一起探讨,如果ASML成功开发出新一代光刻机,那么半导体行业就会被他拿下。  斯密特之所以有这样的信心,是因为新一代光刻机必须在光学、对准和定位等几乎每个方面都大幅改进。当时的行业巨头佳能、GCA、尼康和Perkin-Elmer公司制造的机器仍然使用导程螺丝杆来移动晶圆台,这意味着他们的图像细节达不到小于1微米的定位精度,而这正是ASML技术的优势所在。  斯密特也是一位有远见的人。他以前研究过航空业的整合行动,在他还在上大学的时候,世界上有50家飞机制造厂,当他拿到博士学位后,就只剩下几家了。他还在上一份工作经历中见证过电信业的技术变革。他知道一家新公司,在成熟市场是没有机会的,除非这家新厂商选择对了技术路径。Lithography giant:ASML's rise  技术路径深刻影响了光刻机公司们的起起伏伏,我们总结了三个重要启示:  早期优势有可能会转化为阻碍  ASML由于承袭了飞利浦的光刻机技术,在一开始采用的是油压驱动,而非电动。  在1973年,当爱德鲍尔在飞利浦制造了第一台步进光刻机时,这个基于油压驱动的晶圆台遥遥领先于时代。当时油压是一项卓越的技术,如果没有受到挑战是很难被放弃的。  油压装置提供了稳定性和精度极高的定位系统,但它有一个问题,就是机油如果泄漏,则会对芯片制造过程造成严重破坏。在80巴的压力下,即使是最微量的泄漏也会将整个房间喷上油雾,污染将使芯片生产停滞数月,油在芯片生产过程中是“毒药”。  并且,机油系统还会产生很多噪声,需要定制外壳来减少噪声。这些问题导致了油压驱动的光刻机没有客户。  但由于技术依赖的惯性,飞利浦没有改进这个问题,直到剥离光刻机项目。而到了ASML,也没有在一开始就重视这个问题,斯密特仍希望将这种油压设备,硬卖给那些想要尝试其高级对准系统的客户。  当然,结果肯定是失败的。虽然ASML有一张技术王牌——能够实现精准套刻的对准技术,但由于这项技术被应用于油压驱动的机器中,就是没有人买。最终斯密特决定放弃油压,改为电动晶圆台,这意味更多的研发经费、更短的研发时间、和一定的失败几率,但也不得不迎难而上。  渐进式创新的影响力可能超出想象  20世纪80年代,ASML在光刻机领域还算不上最头部的公司。当时的老大要属美国GCA。但GCA在80年代中期就迅速衰败了。  当时导致GCA失败的最终因素,主要是蔡司的g线镜头,一种光线漂移问题严重。在开始时一切都很好,但随着光刻机运行的时间变长,图像质量就会下降。因为急于向客户交付光刻机,所以GCA在把镜头安装在机器上之前不会对镜头进行检查,这导致GCA交付了数百台带有故障镜头的光刻机,而蔡司多年来对这个问题一无所知,只有不到10%的镜头被送回进行维修。  更大的问题是GCA的光刻机无法自动纠正此类错误,工程师们也不知道问题出现的确切原因。  此时,一种渐进式创新出现了。GCA的日本竞争对手(尼康)设法改进了光刻机的聚焦系统。尼康依次开发出了具有较大数值孔径的g线目镜,这种组合令尼康的系统,能够更清晰地将微小图案成像到光刻胶的薄层上。  这项渐进式创新,令尼康斩获颇丰。当时有很多厂商正在大规模投入g线技术向i线技术革新。但客户们都很看好尼康的改进,因为他们只需要换掉GCA的光刻机,而不是是重新创建一个全新的基础设施。在制造更好芯片的同时,还节省了大量资金。  在技术转型期要格外小心这些因素,尼康对g线镜头的微小创新只是其中一个。当现有技术的寿命延长,对昂贵新技术的需求就会减弱,这意味着投入时机的重要性。  要探索技术路径的迷雾,赛马制可能是不错的手段  ASML也一样会面临抉择,到底是逐步改善现有技术,还是投入新的?ASML里程碑式的光刻机PAS 5500,就是在这样的抉择中诞生的。  工程师要做的不仅是机器的物理设计,他们还必须在初期选择技术路径,然后再扩展物理设计。如果机器架构从一开始就不可靠,那么以后各个环节都会遇到麻烦,问题还将持续多年。  例如晶圆台精度就是一个不确定因素。当时,ASML在其机器中使用带有直线电动机的H型晶圆台,但随着市场对“对准精度”的要求越来越高,很难说这种技术路径的产品能在市场上存活多久。  此时,摆在面前的问题是,ASML应该选择逐步改善,还是彻底革新?如果选择逐步改善,这种技术路径很可能最终无法满足市场的新需求 另一种选择是使用革命性的长冲程、短冲程发动机寻求突破,但研发会有风险。  ASML PAS 5500的首席架构师范登布林克没有直接做出决定,其实他也很难判断到底孰优孰劣。由于这个决策意义重大,他决定在这两条路上分别试验6-9个月,两个团队分别在自己的技术路径上赛马。  最后,技术竞赛证明旧H型晶圆台,有足够的潜力定位8英寸的晶圆,所以ASML选择了这条保险的路线。长短冲程发动机被暂时雪藏,但也可作为更新换代的备选方案。  PAS 5500对于ASML来说,是一款决定性的产品,ASML把所有希望寄托在它身上,PAS 5500也的确推动ASML走向光刻机世界的舞台中心。所以在这种重大的决策上,多花点研发经费是划算的,技术路径的赛马机制是值得的。  经历了多年的苦心经营,ASML在步进扫描光刻机时代走到了巨头行列,当时的市场形成了三家独大的局面:ASML、尼康、佳能。  但令ASML真正登上霸主宝座,弯道超车打败另外两家的契机,来自于颠覆式创新,来自于台积电的一个发明。  3  关键转折点——贵人相助与敌人犯错  技术赛马制之所以重要,就在于当颠覆式创新的机会来临时,提供支撑勇气的判断。  ASML最大的弯道超车,发生在193nm制程到157nm制程的升级过程。过去步进扫描光刻机采取的技术路线都是干式法,通过用更高级的曝光光源,来支撑技术进步到下一代。为了追求更高的分辨率,光源波长从最初的365nm,到248nm,再到193nm,但再往下走时,这条技术路径出现了困难。  当时业内又面临是押注改进还是颠覆的抉择。大部分企业选择了在原有技术路径上改进,比如两大巨头尼康、佳能,都选择进一步研发157nm波长的光源,但遇到了困难。  这时候,一种全新的技术理念出现在市场上——浸没式。这个思路由台积电的华裔越南科学家林本坚提出,他创造性的用水作为曝光介质,光源波长还是用原来的193nm,但通过水的折射,使进入光阻的波长缩小到134nm。  以前的干式法中,曝光介质用的是空气。它们的区别在于折射率,193 nm光源在空气中的折射率为1,在水中折射率为1.4,这也就意味着相同光源条件下,浸没式光刻机的分辨率可以提高1.4倍。  当时很多人认为浸没式技术难度太大,首先水可能会把镜头上的脏东西洗出来,影响工作效能 还有人担心水中的气泡、光线明暗等因素,会影响折射效果。林本坚也在着手攻克这些问题,比如用去离子水和其他手段,来保持水的洁净度和温度,使其不起气泡。  但理论归理论,能不能从实验室真正到工厂,还需要经验丰富的设备商一起开发。林本坚去美国、日本、德国、荷兰跑了一大圈,向光刻机厂商兜售浸没式光刻的想法。但是,绝大部分大厂都不买账。  不买账的原因除了这项技术走得太“鬼才”,还有不少想法需要验证之外,另一个原因就是改变的沉没成本太高。当时主流的研发思路,都是在157nm的干式光刻技术路径上。诸多公司已经耗费了大量财力、人力、物力,如果用这种“加水”的想法,各个研究团队就得全部重新开始,推翻原有的大部分设计。  所以巨头们对林本坚的态度,不仅仅是不理睬,而是封杀。尼康甚至向台积电施压,要求雪藏林本坚。在现实利益面前,这样的事情还发生过很多,比如柯达其实是最早研发出数码相机的公司,但缺乏自我颠覆的勇气,因为恐惧它威胁到自己的胶片业务,反而是雪藏了数码相机。  终于当林本坚跑到了荷兰时,ASML愿意做第一个吃螃蟹的勇士。虽然ASML也是从干式光刻机起家,但它想通过赛马制来赌一把,既然尼康、佳能都在死磕干式法157nm光源,且进展不顺利,那这支“奇兵”的意义就是巨大的。  最终浸润式成功了。2003年,ASML和台积电合作研发的首台浸没式光刻设备——TWINSCAN XT:1150i出炉,第二年又出了改进版。同年,研发进度拖慢的尼康,终于宣布了157nm的干式光刻机产品样机出炉。  但此时胜负已定,一面是用原来193nm光源但通过水进化到132nm波长的新技术,一面是157nm波长的样机,浸润式技术的优势不言而喻,这一技术成为此后65、45和32nm制程的主流,推动摩尔定律往前跃进了三代。  颠覆式创新的毁灭力也是巨大的。尼康、佳能由于对技术路径的判断失误,不仅意味着几百亿研发资金打了水漂,更是在与ASML的竞争中彻底落败。在2000年之前的16年里,ASML虽然跻身第一梯队,但是第一梯队里最小的玩家,占据的市场份额不足10%。  但自浸没式技术出现后,一路摧枯拉朽,全面碾压昔日巨头尼康、佳能,2008年市场占比超过60%。整个日本的半导体厂商,以及IBM等巨头,也都迅速衰落。  4  合作才能走得更远  为了进一步巩固战果,ASML开始打造上下游利益共同体。  由于浸没式技术的独家性,ASML要求所有合作伙伴必须投资它,否则就不合作。Intel、三星、台积电等等都投资了ASML,大半个半导体行业成为了ASML一家的合作伙伴,形成了庞大的利益共同体,大家都绑在了一条船上。  值得注意的是,在研发浸没式光刻设备的同时,ASML还早期布局了EUV技术,可谓走一步看三步。中国现在买不到的EUV光刻机,就是这种最前沿的产物。  我们在前文提到,尼康开发干式157nm光源遭遇了困难,就是因为不停缩小光源波长越来越困难,浸没式光刻技术虽然通过水的折射率暂时领先,但在未来,也一样会面临需要不停缩小波长的问题。  极紫外光(EUV)就像曾经的浸没式技术一样,拥有另辟蹊径的潜力,因为它的光波长极小,可以创造出比传统光刻小得多的电路。从1990年代末开始,直到2017年推出第一台商用EUV机器,这个项目共耗资90亿美元。  EUV代表产生电路的极紫外光 图片来源:New York Times  资金只是一方面,EUV的量产并不是一家公司的能力,而是多方合作的共同结果。美国政府之所以对ASML拥有影响力,就是因为美国政府和美国科研力量,是开发中极其重要的一环。  早在1997年,英特尔认识到进一步缩小光源波长的困难,渴望通过EUV来另辟蹊径。英特尔说服了美国政府,组建了“EUV LLC”的组织,包含了商业力量和政府科研力量,例如摩托罗拉、AMD、英特尔等,还汇集了美国三大国家实验室,美国成员构成了主体。  在对外国成员的选择上,英特尔和白宫产生了分歧,英特尔想让在光刻机领域有实力的ASML和尼康入局,但白宫认为如此重要的先进技术研发不该有”外人”入局。  此时ASML展示出了惊人的技术前瞻性,一定要挤进EUV LLC,虽然这个组织的目标是为了论证EUV技术的可行性,而不是量产它。ASML强力游说,开出了很难拒绝的条件——由ASML出资在美国建工厂和研发中心,并保证55%的原材料都从美国采购。  几百名全球顶尖的研发人员,经过了6年时间,终于论证了EUV的可行性,于是EUV LLC的使命完成,于2003年解散,各个成员踏上独自研发之路。  此时的ASML刚在浸润式技术上奇兵致胜,然后就立即投入到EUV的研发中。ASML每年将营业收入的15%用于研发,比如2017年的研发费用就高达97亿人民币。越投入技术越强,竞争对手都逐渐跟不上了。  EUV的技术难度非常高,在先进的EUV光刻机中,为了产生波长13.5nm超短波长的光,需要持续用20kw的激光轰击从空中掉落的金属锡液滴,液滴直径只有20微米,而且同一个液滴需要极端时间内连续轰击两次,第一次冲击是将它们压平,第二次冲击是将它们汽化,才能产生足够强度的极紫外光。为了保证光的持续性,每秒要轰击5万次。  EUV光刻机被誉为人类制造的最复杂机器之一,各个环节的高度专业性也汇集了全球的尖端产业,其中要用到来自德国的反射镜,以及在圣地亚哥开发的硬件,这种硬件通过用激光喷射锡滴来产生光,重要化学品和元件则来自日本。ASML还于2012年收购了顶级光源企业Cymer。  EUV光刻机绝对是人类制造的最精密复杂的设备之一  运输该机器需要40个集装箱、20辆卡车和三架波音747飞机 图片来源:New York Times  ASML其实是一个集大成者(集成商),也是全球化的受益者。ASML 90%的零部件来自于外购,再由最理解客户需求和产业发展趋势的ASML集成。ASML的背后是美国、日本、欧洲、中国台湾、韩国的技术支撑,最终才能量产出极度复杂的EUV光刻机。  这就是尖端供应链全球化的典型例子,如果中国想在芯片领域取得大幅进步,那就不得不面对一个由多方构成、缺一不可的全球尖端供应链。  早在ASML成立最初的几个月里,就确定了它合作的基因。ASML只进行研发和组装,并不什么都由自己制造。这种理念在1984年是十分超前的,因为当时欧洲流行的信念是“你最好什么都自己做才能控制一切”,当时很多人都认为ASML疯了:“培养合作伙伴与把钥匙交给别人是同一种意思,这是在自找麻烦,你会完全失去控制权。”  但事实证明合作才能走得更远。  最后,我想回到文章开头那个小故事:当海湾战争让IBM的高管无法前来面谈,完全打乱了ASML的计划,令它处于破产边缘时,ASML是如何破局的?  ASML高价雇佣了一个视频摄制组,花了一天时间,把原计划要向IBM展示的全部内容拍了下来,那天晚上,PAS 2500的项目经理理查德乔治,带着录像磁带和一位视频编辑在工作室里通宵剪辑影片。  第二天早上,当时的ASML CEO马里斯带队,手里拿着宝贵的录像带,飞到了IBM。评审会获得了空前成功,IBM的人看录像时吃惊得差点从椅子上摔了下来,他们从来没有见过这样先进的设备,整个房间里的人都十分激动。  如果你仔细翻阅ASML的发展史,你会看到无数个处于绝境的时刻,以及无数个绝境逢生的时刻,是很难,但总有办法。
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 维普半导体:光刻机配套IRIS机台交付国内某光刻机客户
    维普半导体今日官微消息,7月1日,维普光刻机配套IRIS颗粒检测产品累计第5套顺利发机,交付国内某光刻机客户。IRIS模块-即集成掩模检测系统(Integrated Reticle Inspection System),是光刻机中的一个重要组成部分。其主要作用是对掩模版(即光罩)玻璃面、保护膜面颗粒进行检测,消除因颗粒污染导致光刻后批量Wafer的失效,从而保障半导体晶圆制造的准确性和稳定性。
  • EUV光刻机“忙疯了”
    据市场消息,目前,ASML High NA EUV光刻机仅有两台,如此限量版的EUV关键设备必然无法满足市场对先进制程芯片的需求,为此ASML布局步伐又迈一步。当地时间6月3日,全球最大的半导体设备制造商阿斯麦(ASML)宣布,携手比利时微电子研究中心(IMEC),在荷兰费尔德霍芬(Veldhoven)开设联合High-NA EUV光刻实验室(High NA EUV Lithography Lab),并由双方共同运营。推动摩尔定律关键因素:High NA EUV技术据业界信息,High NA EUV技术是EUV技术的进一步发展。NA代表数值孔径,表示光学系统收集和聚焦光线的能力。数值越高,聚光能力越好。通过升级将掩膜上的电路图形反射到硅晶圆上的光学系统,High NA EUV光刻技术能够大幅提高分辨率,从而有助于晶体管的进一步微缩。ASML的High NA EUV设备是芯片制造商制造2nm工艺节点芯片的必备设备,每台设备的成本超过5000亿韩元。据悉,ASML最先进的高数值孔径EUV设备的数值孔径将从0.33提高到0.55,这意味着设备可以绘制更精细的电路图案。ASML官网消息指出,经过多年的构建和整合,该实验室已准备好为领先的逻辑和存储芯片制造商、以及先进材料和设备供应商,提供第一台原型高数值孔径EUV扫描仪(TWINSCAN EXE:5000)以及周围的处理和计量工具。据介绍,0.55NA EUV扫描仪和基础设施的准备工作始于2018年,在此之前,ASML和ZEISS(蔡司)已经能够开发High NA EUV扫描仪专用解决方案,涉及光源、光学元件、镜头变形、拼接、降低景深、边缘位置误差和叠加精度。与此同时,IMEC与其扩展的供应商网络紧密合作,准备了图案化生态系统,包括开发先进的光刻胶和底层材料、光掩模、计量和检测技术、(变形)成像策略、光学邻近校正 (OPC) 以及集成图案化和蚀刻技术。准备工作最近取得了首次曝光,首次展示了使用0.55NA EUV原型扫描仪在Veldhoven的金属氧化物光刻胶 (MOR) 上印刷的10纳米密集线条(20纳米间距)。此次联合实验室的开放,被视为High-NA EUV技术大批量生产准备过程中的重要里程碑。业界预计,随着该技术的不断成熟和普及,将在2025-2026年期间迎来大规模的量产应用。IMEC总裁兼首席执行官Luc Van den hove表示,High-NA EUV是光学光刻领域的下一个里程碑,有望在一次曝光中对间距为20纳米的金属线/空间进行图案化,并支持下一代DRAM芯片。与现有的多图案化0.33 NA EUV方案相比,这将提高产量并缩短周期时间,甚至减少二氧化碳排放量。因此,它将成为推动摩尔定律进入埃时代的关键推动因素。先进制程竞争开战:光刻机“挺忙的”在芯片制造中,先进制程技术是当前行业研发的重点,掌握研发最新制程技术的大厂主要是台积电、三星、英特尔,从三大厂的动态来看,先进制程研发之争已开启。而光刻设备是芯片制造过程中的核心步骤,目前ASML是全球唯一掌握High-NA EUV技术的设备厂商,随着先进制程芯片竞争日益升温,各大厂瞄准EUV先进设备开始抢购。从订单情况来看,ASML财报显示,今年第一季度公司新增订单金额为36亿欧元,其中6.56亿欧元为EUV光刻机订单。这一局,英特尔率先抢下了ASML大部分的High NA EUV光刻机。据此前外媒消息,ASML截至2025上半年的高数值孔径EUV(High-NA EUV)设备订单由英特尔全部包揽。并在前不久英特尔宣布完成了ASML High-NA EUV光刻机设备组装。这是ASML生产的首台High NA EUV光刻机,价值高达3.5亿欧元,英特尔计划用该款设备生产1.8nm以下的先进制程芯片。据了解,ASML还对外交付了第二台High NA EUV光刻机,但未透露买家信息。值得一提的是,ASML的订单已超过了十几台,但EUV设备的最大客户台积电却表示“不抢ASML新设备”。台积电业务开发资深副总经理张晓强此前表示,台积电A16制程不一定要用阿斯麦(ASML)High-NA EUV。现有EUV能力支持芯片生产到2026年底,届时A16制程将根据目前蓝图推出。三星电子方面,该公司联合ASML共同投资1万亿韩元在韩国建立新研发中心。该中心位于京畿道华城市ASML新园区前,将配备能够实施亚2nm工艺的先进高数值孔径EUV光刻设备,并将成为ASML和三星电子工程师使用EUV设备进行先进半导体研发合作的场所。据此前动态,三星电子已在ASML韩国华城新园区附近新获得了一块场地,将于明年开始建设,计划在竣工时引进[高数值孔径]设备,预计最晚会在2027年完成。三星电子还与ASML EUV光刻机组件供应商蔡司联手,在EUV领域深化合作。公开资料显示,蔡司集团是全球唯一的极紫外(EUV)光系统供应商ASML Holding NV的光学系统唯一供应商。据透露,每台EUV光刻机中包含了三万多个由蔡司提供的组件。三星电子此前指出,其目标是引领3nm以下的微制造工艺技术,今年计划采用EUV光刻技术量产第六代10纳米DRAM芯片。未来,三星电子积极寻求到2025年实现2nm芯片商业化,到2027年实现1.4nm芯片商业化。
  • 中科院布局光刻机 助力企业摆脱“卡脖子”命运
    p style="text-indent: 2em text-align: justify "众所周知,在9月15日之后,华为已被全面断供。浓浓的情绪之下,中科院作为中国研发硬实力宣布牵头研发光刻机。span style="text-indent: 2em "中科院布局光刻机和卡脖子相关技术的消息,一经发出便引发了业界的欢呼。/span/pp style="text-indent: 2em text-align: justify "9月16日,根据媒体报道,中科院院长白春礼接受采访时表示:“未来中科院将集结全院之力攻克光刻机、关键材料等重点技术,帮助国内科技企业摆脱被西方国家卡脖子的命运。”br//pp style="text-indent: 0em text-align: center "img style="max-width:100% max-height:100% " src="https://img.21ic.com/weixin/2020/9/Z3Uvy2.png"//pp style="text-indent: 2em text-align: justify "虽然,光刻机技术落后,特别是EUV极紫光刻机落后是事实。但事实上,光刻机产业并不是从零开始的。/pp style="text-indent: 2em text-align: justify "2019年,上海集成电路峰会上,国家集成电路创新中心总经理张卫向透露的“中国集成电路技术路线图”中的六大内容中,就包括了先进光刻工艺发展趋势的这一项。/pp style="text-indent: 2em text-align: justify "另外,国内光刻技术早已达到65nm水平,甚至更高水平的工艺的消息频繁流出。/pp style="text-indent: 2em text-align: justify "今年7月,中科院方面公布了一种5纳米工艺的激光光刻技术,2019年12月份,中科院还公布了一项新型垂直纳米环栅晶体管技术。/pp style="text-indent: 2em text-align: justify "可以说,在先进技术,特别是光刻机上,中科院一直是在努力之中的,只不过是背后默默的英雄。/pp style="text-indent: 2em text-align: justify "21ic家认为,国内最大的研究所加入到光刻机的研发,不仅拥有最好的人才和研发资源,还具有最好的牵头作用。/pp style="text-indent: 2em text-align: justify "众所周知,虽然光刻机一直在卡脖子,但要知道光刻机也拥有自己的产业链,包括镜头、激光、光刻胶材料、测试测量都是必备的环节。当然,中科院也明确表示将会将卡脖子的技术都列入可研清单之内,因此中科院的未来研究成果非常值得期待。/p
  • 龙图光罩IPO:购置5.4亿元仪器设备,光刻机等主要从日本等地进口
    仪器信息网讯 近日,深圳市龙图光罩股份有限公司(以下简称:龙图光罩)公布“首次公开发行股票并在科创板上市招股说明书(申报稿)”,招股书显示,龙图光罩拟募资6.6亿元,募集资金投资项目为高端半导体芯片掩模版制造基地项目、高端半导体芯片掩模版研发中心项目和补充流动资金项目,募资主要用于设备购置及安装等。相关资料显示,在半导体掩模版领域,中国大陆第三方半导体掩模版生产企业主要集中在 130nm 及以上制程节点,130nm 及以下制程节点掩模版仍严重依赖进口,国内供应还有较大缺口。根据贝恩咨询数据,2020 年全球 130nm 制程以上的晶圆制造商营收为 941 亿元人民币,130nm-65nm 制程节点的营收为 848 亿元,45nm-28nm 制程节点的营收为 1015 亿元,在 130nm 制程以下的半导体掩模版有着广阔的市场空间。为不断地提高研发实力,加强基础性研究,实现公司产品的不断技术升级,龙图光罩拟新建高端半导体芯片掩模版研发中心,项目围绕高端半导体芯片掩模版的研发与生产课题,计划通过持续加大研发投入和资金投入,逐步实现 90nm、 65nm 以及更高节点的高端制程半导体掩模版的量产与国产化配套。研发成果的实现有利于保持龙图光罩在半导体掩模版领域的技术领先地位,提升我国半导体掩模版制造技术水平。主营业务和技术据了解,龙图光罩主营业务为半导体掩模版的研发、生产和销售,是国内稀缺的独立第三方半导体掩模版厂商。公司紧跟国内特色工艺半导体发展路线,不断进行技术攻关和产品迭代,半导体掩模版工艺节点从 1μm 逐步提升至 130nm,产品广泛应用于功率半导体、MEMS 传感器、IC 封装、模拟 IC 等特色工艺半导体领域,终端应用涵盖新能源、光伏发电、汽车电子、工业控制、无线通信、物联网、消费电子等场景。招股书显示,龙图光罩已掌握 130nm 及以上节点半导体掩模版制作的关键技术,形成涵盖CAM、光刻、检测全流程的核心技术体系。在功率半导体掩膜版领域,工艺节点已覆盖全球功率半导体主流制程的需求。龙图光罩主要产品如下:募集资金具体运用情况一、高端半导体芯片掩模版制造基地项目高端半导体芯片掩模版制造基地项目位于珠海市高新区金鼎片区金鼎中路东、金瑞二路北侧。本项目主要从事掩模版的生产,预计年产掩模版 12.5 万片/年。项目总投资为 66,942.07 万元,具体金额及资金使用计划如下表所示:项目建设期为三年,项目建设周期规划为以下几个阶段:初步设计、建安工程、设备购置及安装、人员招聘与培训、系统调试及验证及试运行等 6 个阶段,具体的项目建设进度安排如下:二、高端半导体芯片掩模版研发中心项目本项目总投资为 3,320.00 万元,预计公司投入募集资金 3,320.00 万元。具体金额及资金使用计划如下表所示:项目建设期为三年,工程建设周期规划为以下几个阶段:设备购置及安装、人员招聘与培训和试运行等阶段,具体的项目进度安排如下:主要仪器设备情况及供应商半导体掩模版行业的主要生产设备昂贵,对相关企业资本投入要求较高。近三年,龙图光罩采购光刻机台数及平均单价情况如下:随着工艺节点的提升,全流程生产设备均需要升级,资本投入将被迫大幅上升。截止去年12月31日,主要生产设备情况如下:随着本次募投项目的实施,龙图光罩将将引入多台电子束光刻机、干法刻蚀机、高端 AOI 检测设备等,相应固定资产金额亦将大幅提升。据披露,公司主要生产设备,如光刻机主要向境外供应商采购,包括瑞典 Mycronic、德国 Heidelberg、日本 JEOL 等。采购依赖于境外且集中度较高,若国际贸易出现极端变化,或供应商自身经营状况、交付能力发生重大不利变化,将对公司的生产经营产生不利影响。
  • 光电所研制出3米长光栅复制光刻机
    近日,一种新型“3米长光栅复制光刻机”在中科院光电技术所研制成功。这是该所继成功研发URE-2000系列大面积曝光机后创新研发的一项新型精密光刻设备。该机在母尺和工件间抽真空,采用蝇眼透镜的i线均匀照明系统,结合气浮工件台一维运动而实现大行程的扫描、真空接触、i线曝光。其照明均匀性为±2%,光刻分辨力优于5微米,照明面功率密度50mW/cm2,照明面积28mm×32mm;工件台匀速扫描行程大于3300mm,且扫描速度可在1mm-30mm/s范围任意调整与设定;整机实现计算机控制管理,液晶显示,中文界面,操作简便。该机衍射效应平滑、均匀照明、光源准直、真空接触、整机集成等颇具创意,具有精度高、可靠性好、自动、高效等特点,可广泛应用于高精度长光栅等长尺寸器件微细加工与生产。
  • 北大新型电镜技术助力国产光刻机攻关
    北京大学量子材料科学中心高鹏研究组基于扫描透射电子显微镜发展了四维电子能量损失谱技术,突破了传统谱学手段难以在纳米尺度表征晶格动力学的局限,首次实现了半导体异质结界面处局域声子模式的测量,近日更是被《半导体学报》列为2021年度中国半导体十大研究进展。这项科技成果的诞生,不仅是我国高端科学仪器领域的一个重要突破,更为实现国产EUV光刻机、掌握芯片核心技术、攻克国产半导体核心技术壁垒增添了动力。四维电子能量损失谱测量界面晶格动力学(a)实验原理示意图;(b)实验测得的声子局域态密度空间分布;(c)界面模式的色散关系。芯片的重要性不用再说,一直被称为“现代工业的粮食”。芯片生产流程中最复杂、最关键的工艺步骤“光刻”需要光刻机来完成,因此,光刻机又被称为“现代光学工业之花”,是盘活国产半导体行业的“齿轮”。光刻机科技含量高,制造工序复杂,仅荷兰ASML的一款EUV光刻机就需要来自全球35个国家5000多家企业的10万多个元器件,并且其中90%的零部件也都是依赖于进口。目前,全球仅有三个国家的四家公司能够制造,荷兰ASML与日本的佳能、尼康占据了全球光刻机市场99%的份额。其中,ASML市场份额常年高达60%以上,呈现霸主垄断地位,并且完全地垄断了超高端光刻机领域;日本的尼康和佳能曾经非常领先,但后来被ASML超越,现在只能生产中低端光刻机;我国的上海微电子的封测光刻机做得不错,但前道光刻机还有差距。说完市场再论技术EUV光刻机一般指极紫外线光刻机,是生产7nm工艺以下芯片的必要设备。制造EUV光刻机最为关键的三大核心组件包括极紫外光源、双工件台和镜头。攻克这三关,制造EUV光刻机指日可待。极紫外光源是顶级光源,ASML在2012年通过收购美企Cymer掌握了此项技术。我国的上海光机所和长春光机所已经突破了14纳米极紫外光源技术,即将转入到实际成果的投用环节。双工件台属于精密仪器制造技术,之前是ASML独家掌握的技术,目前清华旗下的华卓精科已经实现突破,成为了全球第二家掌握光刻机双工件台技术的企业,已成功供货上海微电子。三大核心组件中,最重要的是高精度镜头,一直被德国蔡司垄断。就算是光刻机巨头ASML的镜头也得依赖德国蔡司,而且30多年来,ASML对蔡司的依赖越来越严重。EUV光学镜头由于技术壁垒高、突破难度大。因为都是欧洲企业,ASML可以依赖蔡司,我们中国却不能,因为《瓦森纳协议》。如今,ASML想要研发更先进的EUV光刻机,就需要更大数值孔径的镜头。但这对蔡司来说也是一个挑战,毕竟数值越大,制作难度就越大。德国蔡司的镜头是人工打磨,属于传统谱学制成的EUV光镜。而EUV光镜决定着EUV光刻机的制程上限,基于传统谱学的EUV光镜很难满足硅基半导体未来发展的需求。即使是非常厉害的蔡司,往更高精度发展,也会越来越难以保证。现下,北京大学掌握的这项新型扫描透射电子显微镜技术,就可以规避传统光谱镜头的局限性,能向更高精度发展。简单来说,光学镜头做不到的,电子镜头能做到。光学镜头能做到的,电子镜头也能做到,而且精确性更高。加上上海光机所、长春光机所的极紫外光源技术和华卓精科双工件台技术,我国围绕EUV光刻机的相关技术正在陆续突破,接下就是提高精度,实现合围了。国内光刻机技术的陆续突破,主要源于我们早就布局,并不是近年来才起步。这跟我们的高端科学仪器产业链一样,各项技术环节都在攻坚,相信不远的未来一定能够实现突破。
  • 佳能推出适合高功率半导体的光刻机
    日本佳能正通过光刻机加快抢占高功能半导体市场。佳能时隔7年更新了面向小型基板的半导体光刻机,提高了生产效率。在用于纯电动汽车(EV)的功率半导体和用于物联网的传感器需求有望扩大的背景下,佳能推进支持多种半导体的产品战略。目标是在三大巨头垄断的光刻机市场上确立自主地位。佳能将于2021年3月发售新型光刻机“FPA-3030i5a”,该设备使用波长为365纳米的“i线”光源,支持直径从2英寸(约5厘米)到8英寸(约20厘米)的小型基板。分辨率为0.35微米,更新了测量晶圆位置的构件和软件。与以往机型相比,生产效率提高约17%。佳能的光刻机新机型调整了测量晶圆位置的“校准示波器”的构成,与曝光工序分开设置了测量单元。通过同时进行纵横两个方向的测量而缩短了时间,并通过扩大测量光的波长范围,实现了对难以识别标记的多层基板和透明基板的支持,而且能够识别出晶圆背面的标记。除了目前主流的硅晶圆之外,新机型还可以提高小型晶圆较多的化合物半导体的生产效率。包括功率器件耐压性等出色的碳化硅(SiC),以及作为5G相关半导体材料而受到期待的氮化镓(GaN)等。随着纯电动汽车和物联网的普及,高性能半导体的需求有望增加。在半导体光刻机领域,荷兰ASML和日本的佳能、尼康3家企业占据了全球9成以上的份额。在促进提升半导体性能的精细化领域,可使用短波长的“EUV”光源的ASML目前处于优势地位。佳能光学设备业务本部副业务部长三浦圣也表示,佳能将根据半导体材料和基板尺寸等客户制造的半导体种类来扩大产品线。按照客户的需求,对机身及晶圆台等平台、投影透镜、校准示波器三个主要单元进行开发和组合,建立齐全的产品群。佳能还致力于研发“后期工序”(制作半导体芯片之后的封装加工等)中使用的光刻机。2020年7月推出了用于515毫米×510毫米大型基板的光刻机。以此来获取把制成的多个芯片排列在一起、一次性进行精细布线和封装的需求。佳能还致力于“纳米压印”(将嵌有电路图案的模板压在硅晶圆的树脂上形成电路)光刻设备的研发。据悉还将着力开展新一代生产工艺的研发。
  • 光刻机公司芯碁微装拟科创板IPO,2020上半年营收7590万元
    日前,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)发布了《首次公开发行股票并在科创板上市招股意向书》。拟公开发行3020.2448万股,发行后总股本约为1.21亿股。芯碁微装主要从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备等。芯碁微装董事长程卓,女,55岁,中国国籍,无境外永久居留权,安徽工商管理学院工商管理硕士。总经理方林,男,42岁,中国国籍,无境外永久居留权,合肥工业大学硕士。财务数据显示,2017年、2018年、2019年和2020年1-6月,芯碁微装营业收入分别为2,218.04万元、8,729.53万元、20,226.12万元和7,590.22万元,净利润分别为-684.67万元、1,729.27万元、4,762.51万元和991.31万元。芯碁微装主要营业收入来源为PCB直接成像设备的销售。2017年、 2018年、2019年和2020年1-6月,PCB直接成像设备的销售收入分别占各期主营业务收入的比例分别为82.21%、60.11%、95.14%和87.17%。据芯碁微装董事长程卓介绍,本次上市主要是想借助资本市场大力发展半导体光刻机、FPD光刻机。招股意向书中披露,芯碁微装本次发行拟募集资金4.73亿元,投入到高端PCB激光直接成像(LDI)设备升级迭代项目、晶圆级封装(WLP)直写光刻设备产业化项目、平板显示(FPD)光刻设备研发项目、微纳制造技术研发中心建设项目,拟投入募集资金分别为20,770万元、9,380万元、10,836万元、6,355万元。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制