当前位置: 仪器信息网 > 行业主题 > >

电子束实验仪结构原理

仪器信息网电子束实验仪结构原理专题为您提供2024年最新电子束实验仪结构原理价格报价、厂家品牌的相关信息, 包括电子束实验仪结构原理参数、型号等,不管是国产,还是进口品牌的电子束实验仪结构原理您都可以在这里找到。 除此之外,仪器信息网还免费为您整合电子束实验仪结构原理相关的耗材配件、试剂标物,还有电子束实验仪结构原理相关的最新资讯、资料,以及电子束实验仪结构原理相关的解决方案。

电子束实验仪结构原理相关的资讯

  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • 重大成果!电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱的仿生制造!
    生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能准确无误地识别出特定形状的物体!图:苍蝇特殊的“复眼”结构(图片来源于网络)这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。而在最近的一项研究中,昆士兰科技大学的研究团队首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造,并取得了重要研究成果。目前,该项研究论文已被Journal of Materials Chemistry(IF=4.776)录用,论文题目为Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars。研究中涉及的大量仿生制备工作由TESCAN 的EBL完成,并使用了TESCAN MIRA3场发射扫描电子显微镜表征细胞间相互作用。图:研究论文已被Journal of Materials Chemistry(IF=4.776)录用由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其在生物医学上的应用产生了浓厚兴趣。昆士兰科技大学Prasad KDV Yarlagadda及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并首次使用电子束曝光技术(EBL)进行蝉翼结构的仿生制造,加工出类似的纳米锥阵列结构,经研究发现,其同样具有杀菌和生物相容性。首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。图:不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。昆士兰科技大学的研究团队巧妙地利用电子束在光刻胶中的散射,通过控制电子束能量,制作出椎体的“模子”,然后利用沉积生长出需要的椎体,最后腐蚀掉所有光刻胶,得到了完美的纳米锥阵列。图:仿生纳米锥阵列的制作过程示意图最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,进一步实验发现,其同样具有杀菌性和生物相容性。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 图:通过EBL技术制备的仿蝉翼结构的Ti纳米锥陈列图:(E)在制备出的仿生Ti纳米锥阵列上附着铜绿假单胞菌细胞;(F)对照Ti柱和仿生纳米Ti柱上附着的人成骨细胞的活性;(G)在仿生Ti纳米锥阵列上附着扩散良好的成骨细胞;电子束曝光(EBL)技术是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物(光刻胶)的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(EBL)技术避免了传统方法中对模板加工和使用的复杂过程,其高分辨、高度灵活性、高灵敏度的特点也受到研究人员关注,且EBL制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。在上述工作中,昆士兰科技大学研究团队使用了TESCAN MIRA3高分辨场发射扫描电子显微镜搭配TESCAN自主研发的电子束曝光(EBL)技术出色完成了相关工作。不久前,昆士兰科技大学新采购了一台TESCAN最新的S8000X Xe Plasma FIB-SEM,这是一款功能强大的氙等离子源FIB,配置了TESCAN最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!图:昆士兰科技大学最新采购的TESCAN S8000X Xe等离子源FIB-SEM 注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。
  • 中科院高能所在电子束品质提升方面获重要进展
    超短超强激光脉冲可以在等离子体中激发梯度超过100 GV/m的加速电场,这比传统金属射频腔可以提供的加速电场高了1000倍以上,有望大幅缩小加速器规模,使桌面型粒子源/辐射源成为现实。目前,激光等离子体加速所采用的主流注入机制(如自注入,离化注入,碰撞光注入等)无法兼顾被加速束团电荷量、能散和发射度等参数,很难让它们同时得到优化。近日,李大章、曾明特聘青年研究员带领的加速器中心新加速原理研究团队提出一种新型注入机制,利用两束同轴激光干涉形成的多壳层空泡结构的演化,俘获背景等离子体中的电子。模拟结果显示,在此种注入机制下,有望利用200 TW量级激光器,产生中心能量750 MeV,能散0.4%,电荷量150 pC,归一化发射度0.2 mm mrad的高品质电子束。此结果已在近期发表在《Matter and Radiation at Extreme》杂志上。当一束波前曲率迅速变化的紧聚焦激光脉冲与一束波前平坦的大光斑激光脉冲同轴同向传播时,两束光会发生干涉,并在等离子体中形成洋葱状的周期性多壳层空泡结构(如图a所示)。随着两束光继续向前传播,空泡将在横向发生膨胀,电子回流时间变长,从而引起空泡结构的纵向拉伸,最终导致尾场相速度降低。此时等离子体背景电子将有机会被尾场俘获并加速。在此种注入机制下,较长的注入长度保证了较大的电荷量,空泡纵向膨胀诱导的注入减弱了束流的相混合,空泡尾部壳层的散焦力降低了电子被俘获时的横向动量。因此,此注入机制可兼具高电荷量,低能散和小发射度的优点(如图b,图c所示)。
  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是可以应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能可以使所有必要的校准和曝光方案互相配合广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构进行分析的所有用户。NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。MultibeamMultibeam Corporation总部位于加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,可以提高晶圆加工速度。Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是同时进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。JC Nabity自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司一直致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地越来越多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作. 为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还可以实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简便的电子束曝光系统。事实上,NPGS可以应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还没有其他扫描电镜电子束曝光系统可以像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。作为ASML的竞争对手,Mapper在2018年12月份被证实正式宣布破产,公司拥有270名员工和众多电子束光刻机相关的IP。Mapper曾经以为自己找到了半导体制造行业的痛点,无奈研发能力跟不上,最终错过了好时机。Mapper为了降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具有很高的分辨率、较大的焦深与灵活性。Mapper设备通过使用电子束书写而不再需要掩模,让芯片成本有望实现明显下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。IBM20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm2子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线条,束偏移±2.5mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主要问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。Zyvex LabZyvex Corporation 由 Jim Von Ehr 于 1997 年创立,旨在开发和商业化原子精密制造 (APM) 技术,以制造具有原子精密度的产品。2007 年 4 月,Zyvex Corporation 重组为三个独立的公司,以确保持续专注于产品:Zyvex Performance Materials LLC、Zyvex Instruments LLC 和 Zyvex Labs LLC。资产在三个公司之间分配,并为材料和仪器业务聘请了专门的管理人员。Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展中国科学院电工研究所由中国科学院电工研究所承担的中科院知识创新工程重大项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技和半导体前沿研究,满足我国科研机构和国防建设需要。 在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科技研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。中国电子科技集团公司第四十八研究所中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了重要作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。深圳量子科学与工程研究院深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。据了解,围绕核心关键技术研发,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已获得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。泽攸科技近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。整体来看,国际龙头企业在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 电子束缺陷检测设备(EBI)与SEM的区别和联系
    一、技术应用背景1.行业痛点在半导体制造过程中,需要对半导体进行微观缺陷的观察。所需要查看的缺陷不仅来自半导体器件的表面,也来自半导体内部。例如存储器件芯片领域,即我们常说的内存,当二维尺度存储单元的尺寸被降低至无法继续缩小,但芯片的存储容量仍然不能满足需求时,三维存储器工艺3D NAND应运而生(图1)。简单来说,该技术机理为将二维存储器堆叠成多层三维结构,相同面积芯片上存储单元被成倍增加,从而达到在不增加存储器面积的前提下增加存储容量的效果。在其它器件领域,此类立体布线的芯片制作技术和工艺也被广泛应用。图1 二维存储器和三维存储器示意图但这类工艺也增加了缺陷检查的难度。在二维器件时代,技术人员只需要对平面上存在的缺陷进行检查,但是当工艺迭代至三维空间,对芯片内部数十层甚至数百层线路进行缺陷检查就变成了一件很有挑战性的工作。X射线具有一定的穿透能力,但是分辨能力无法达到检查要求;电子束的分辨能力强,但是又难以穿透到芯片内部检查线路缺陷。 常规的直接检测手段效果不佳,这时就产生了一些间接检查的手段。由于内部线路缺陷检测主要关注内部线路的通断,而电子束作为一种成像介质,不仅可以用于获取显微影像,也可以向材料内部充入电子,而电子本身就是判断导电线路通断的关键手段。电子束缺陷检查设备EBI(E-Beam Inspection)就是一类专门用于快速分析此类缺陷的专用设备。 EBI设备源自于SEM,其工作原理同样基于电子束与物质相互作用产生的二次电子(主要)/背散射电子效应,这些二次电子/背散射电子的数量和能量分布与材料表面的物理和化学性质密切相关,特别是与表面的缺陷情况有关。通过收集和分析这些二次电子/背散射电子,可以构建出待测元件表面的电压反差影像,从而实现对缺陷的检测。2. EBI设备的详细工作机理介绍由电子束激发的二次电子产额δ(发射的二次电子数与入射电子数之比)与入射电子束能量Ep的关系如图2所示。δ曲线随能量快速递增至最大值,再缓慢递减。这是因为当能量较低时,激发的二次电子数目较少,随着能量的增加,激发的二次电子数目越来越多,但能量越大,入射电子进入到固体内部越深的地方,虽然产生大量的二次电子,但这些二次电子很难从固体内部深处运动到固体表面逸出。对于大多数材料来说,二次电子产额δ都符合这条曲线的规律。图2 二次电子产额δ与入射电子束能量Ep的关系示意图如图3所示,当EⅠ1,此时试样表面呈正电荷分布。发射的二次电子大部分小于10 eV,由于受到试样表面正电荷的吸引作用,二次电子的发射会受到阻碍。当Ep=EⅠ或Ep=EⅡ时,δ=1,此时试样表面呈电中性。当EpEⅡ时,δ1,此时试样表面呈负电荷分布,二次电子受到试样表面负电荷的排斥作用,随着负电荷逐渐积累,直到δ=1。图3试样表面电荷累计示意图以上就是电子束检测中的正电位模式(Positive model)和负电位模式(Negative model)。正电位模式常用于检测由于电子累积而导致的电性缺陷,如短路或漏电。在检测过程中,在特定试样下,亮点可能表示待测元件存在短路或漏电问题,因为这些区域会吸引并累积更多的电子,形成较高的电位,而暗点则表示断路。负电位模式则与正电位模式相反。 以6T SRAM中的接触孔缺陷成像分析为例,在正电荷模式下的接触孔影像和接触孔断路缺陷影像如图4所示。正电荷分布模式下接触孔断路缺陷的影像会受到表面正电荷异常增加,而导致的电子束缚能力增强,接收器接收到的电子数量变少,接触孔影像变暗而出现缺陷信号,如图4中右图所示。而在负电荷分布模式下的接触孔断路缺陷影像如图5所示,接触孔断路缺陷表面负电荷无法从基底流走,排斥更多的负电荷,使接触孔影像变亮而出现缺陷信号。图4 正电荷模式下的接触孔影像(左图)和接触孔断路缺陷影像(右图)图5 负电荷模式下的接触孔断路缺陷影像二、EBI设备的技术特点1. EBI设备电子枪技术策略芯片内部线路通断信号的判定通常不需要在较高的加速电压下进行,电子束的着陆能量调节范围也无需过大,通常0.2kV-5kV的着陆能量即可覆盖芯片样品的电荷积累极性,从而达到判断内部线路通断的目的。因此EBI设备通常采取额定电压的电子枪技术,这样一方面节省成本,另一方面降低了电子枪的制作和装调难度。 从应用角度举例,仍以6T SRAM接触孔缺陷检测为例(图6),当着陆能量为300 eV和500 eV时,试样表面呈正电荷分布;当着陆能量为1800 eV时,试样表面呈电中性;当着陆能量为2000 eV和3000 eV时,试样表面呈负电荷分布。对于这种特定试样来说,在电子束着陆能量较低时,产生的二次电子信号量太少,图像的衬度较差,接触孔缺陷较难判断;电子束着陆能量为2000 eV时,接触孔断路处由于负电荷迅速积累而变亮,此时接触孔缺陷清晰可见。图6 入射电子束不同着陆能量下接触孔缺陷检测图2. EBI设备着陆电压控制策略常规SEM通常使用在镜筒内部设置减速电极、减速套管等方式实现对着陆电压的精确控制,统称为镜筒内减速技术。该技术的核心思路是电子束在镜筒中一直维持着较高的能量,保持较低的像差,电子束在到达极靴出口之前恰好降低至目标电压,从而轰击样品。该技术的优势是在保证低电压高分辨能力的同时,不干扰各类仓室内探测器的使用。镜筒内减速技术综合考虑了各类材料的观测工况,适用性强,不存在明显的技术短板,代表了当代电子光学的较高水平,但其装配调试难度相对较高,故多搭载于成熟品牌SEM的高端机型。(镜筒内减速技术的发展和详解本篇文章不过多展开,请继续关注本公司后续技术文章)EBI设备则不同,由于该设备主要用于观测大尺寸平整晶圆,通常不需要考虑样品存在起伏的情况,在这种工况下为了精确控制电子束与晶圆发生碰撞瞬间的入射电压,EBI设备最常采用样品台减速的设计思路,即在样品台表面设置可调节的减速电位,这样晶圆表面也分布有处处均等的减速电势。当电子束下落至晶圆表面,电子的速度便恰好被降低到目标入射电压,以此达到精确控制晶圆表面电荷积累的极性的目的。例如:(图7)电子枪的发射电压为15 kV,电子束以15 keV的能量在镜筒内运动,在样品台上施加一个-14 kV的反向电场,这样电子束到达样品的瞬间着陆能量恰好被减速到1 keV。图7 样品台减速模式示意图样品台减速技术对样品的平整度要求很高,样品不平整会直接导致减速场分布的不均匀,从而直接影响成像质量和检测精准度。但是对于EBI设备,被检测对象单一且均匀,采用样品台减速的设计路线就极为合适。通常EBI厂商会采用固定电压的电子枪配合可调节电压的样品台减速,实现对着陆电压的精确控制,这种技术策略与常规SEM相比,一定程度上降低了设计和装配的难度,也节约了生产成本。3. EBI设备物镜的设计在常规的SEM中,物镜也被称为外镜物镜,如图8所示。它位于电子枪底部,用于汇聚初始电子束。常规SEM需要观测形状各异的样品,同时需要安插各类探测器来获取不同种类的信号以增加成像分析的维度,这种锥形物镜的设计允许样品在较大的范围内自由移动和倾斜旋转,也极大程度上便利了各类探测器的扩展性。图8 常规SEM物镜示意图然而在EBI设备的应用场景中,样品通常为平整的大尺寸完整晶圆,多数情况下仅做水平方向的移动观察,这就意味着样品与物镜发生碰撞的概率被大大减小。因此在设计EBI设备物镜时,就可以采用一些更小的工作距离的设计思路,从而突破使用传统物镜导致的分辨能力的极限。 半浸没物镜是EBI设备经常采用的一种类型,通过特殊设计的磁场分布(如图9所示),将强磁场“泄漏”到物镜空间下方的样品区域,这样相当于获得了无限短的工作距离,物镜对平整晶圆表面线路的分辨能力得到了大幅度提升。这种设计通常还会将电子探测器布置在物镜内部,以增加信号电子的收集效率。不过由于工作距离短,磁场外泄的设计,在此类型物镜基础上插入其它类型的信号探测器并不容易。例如,正光轴外置背散射电子探测器,通常无法在常规的使用工况中发挥作用,为了防止外露磁场的均一稳定,使用镜筒内二次电子检测器时,需要将该背散射检测器移出磁场;仓室内的二次电子探测器(ET)也会受到泄露磁场的影像导致无法收到信号。图9 半镜内物镜示意图三、EBI与SEM的区别和联系电子束检测设备EBI与扫描电子显微镜SEM在半导体检测领域各有侧重,但又相互关联、相互补充。EBI是针对单一应用场景特殊优化过的SEM设备,通常使用额定加速电压,样品台减速控制落点电压和半内透物镜技术策略,主要用于半导体晶圆的缺陷检查,特别是内部线路中的电性缺陷。其利用二次电子/背散射电子成像技术捕捉并分析缺陷,能够做到线上实时检测缺陷状况,无须借助接触式电极即可完成线路通断检查。SEM的适用领域则更广,不仅限于半导体领域,还广泛应用于材料科学、生命科学、能源化工、地址勘探等多种基础、前沿科学技术领域的微观研究。SEM具有更宽泛的电压调节能力,更灵活多变的工作高度,更大的成像景深,更多种探测器的部署方式,更灵活的采集模式,同时兼容各种类型的原位观察、原位加工附件。参考文献及专利[1] Scholtz, J. J., D. Dijkkamp, and R. W. A. Schmitz. "Secondary electron emission properties." Philips journal of research 50.3-4 (1996): 375-389.[2] Patterson, Oliver D., et al. "The merits of high landing energy for E-beam inspection." 2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2015.[3]王恺.28纳米技术平台接触孔成型工艺的缺陷检测与优化研究.2019.上海交通大学,MA thesis.doi:10.27307/d.cnki.gsjtu.2019.004052.[4]常天海,and 郑俊荣."固体金属二次电子发射的Monte-Carlo模拟."物理学报 61.24(2012):149-156.[5]Xuedong Liu, et al."System and method to determine focus parameters during an electronbeam inspection."US7705298.2010-04-27.
  • 成果分享|电子束曝光(EBL)技术首次应用于蝉翅结构纳米柱仿生制造
    div class="rich_media_content " id="js_content" style="margin: 0px padding: 0px overflow: hidden color: rgb(51, 51, 51) font-size: 17px overflow-wrap: break-word text-align: justify position: relative z-index: 0 font-family: -apple-system-font, BlinkMacSystemFont, " helvetica="" pingfang="" hiragino="" sans="" microsoft="" yahei="" font-style:="" font-variant:="" font-weight:="" letter-spacing:="" text-indent:="" text-transform:="" white-space:="" word-spacing:="" -webkit-text-stroke-width:="" background-color:=""section class="" style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important white-space: normal "p style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-size: 15px line-height: 22.5px letter-spacing: 1px font-family: 宋体, SimSun "生物体从宏观到微观,再到纳米尺度的多级复合结构,使其具有诸多独特的优异性能。人们很早就开始模仿生物的特殊功能,来发明和应用新技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-size: 15px line-height: 22.5px "例如人们根据苍蝇特殊的“复眼”结构,仿照制成了“蝇眼透镜”,用它作镜头可以制成“蝇眼照相机”,一次就能照出千百张相同的相片;还有仿照水母耳朵的结构和功能,人们设计了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "水母耳风暴预测仪;根据蛙眼的视觉原理,研制成功了一种电子蛙眼,能/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px background: white none repeat scroll 0% 0% "准确无误地识别出特定形状的物体/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) letter-spacing: 1px font-size: 15px line-height: 22.5px "?/span/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/1d1bb32e-3372-45b8-b9f6-aecdb9c4480d.jpg" title="2.jpg" alt="2.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "苍蝇特殊的“复眼”结构(图片来源于网络)/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: justify "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "这就是早期的仿生学应用,但随着科技的进步和纳米技术的迅速发展,人们开始将仿生学应用到纳米尺度,研究者通过模仿生物的纳米结构仿生制造出类似的超微结构,以此来探究和获取生物的特殊功能。在纳米微结构加工领域,常用的微纳光刻技术有纳米压印、紫外光刻、X射线曝光等技术。/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "而在最近的一项研究中,昆士兰科技大学的研究团队/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "首次将电子束曝光(EBL)技术应用于生物纳米结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "并取得了重要研究成果/strong。目前,该项研究论文已被/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Journal of Materials Chemistry/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")/span/em/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "录用,论文题目为/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "Multi-biofunctional properties of three species of cicada wings and biomimetic fabrication ofnanopatterned titanium pillars/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: left "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究中涉及的strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "大量仿生制备工作由/strong/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN /span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "的/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "完成/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",并使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "场发射扫描电子显微镜表征细胞间相互作用/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "。/span/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em text-align: center "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "img src="https://img1.17img.cn/17img/images/201903/uepic/a35e931d-e573-43b1-b2e3-19aec186d880.jpg" title="3.jpg" alt="3.jpg"//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "研究论文已被/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Journal of Materials Chemistry/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "IF=4.776/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% ")/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "录用/span/em/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "由于蝉翼具有多功能生物特性,如超疏水性,自清洁和杀菌作用等,人们对其/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在生物医学上的应用span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px color: black "产生了浓厚兴趣。昆士兰科技大学/span/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "Prasad KDV Yarlagadda/span/emspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "及其研究团队对蝉翼的杀菌和细胞相容特性进行了系统研究,并/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "首次使用电子束曝光技术(/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px "EBL/span/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px ")进行蝉翼结构的仿生制造/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "加工出/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "类似的纳米锥阵列结构,/strong经研究发现,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "同样具有杀菌和生物相容性/strong。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "首先,研究人员使用了SEM,AFM,TEM等多种微观分析技术对三种不同种类的澳大利亚蝉翅膀表面的纳米结构进行了表征。研究人员观察到,三种蝉翼表面均具有独特的形貌结构,虽然凸起的高度、直径、间距和密度并不完全相同,但都呈现出锥状的纳米柱阵列。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black font-family: 宋体, SimSun "br style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "//span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/7a2f8f38-2397-4cfd-9bc6-e54722e8408a.jpg" title="4.jpg" alt="4.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "不同物种的蝉翅具有不同高度、间距、直径和密度的纳米柱结构/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "研究人员分别采用了在蝉翼上附着铜绿假单胞菌、金黄色葡萄球菌细胞和人成骨细胞的方法来探究昆虫翅膀的杀菌活性和生物相容性。实验证明,三种蝉翼均具有很好的杀菌活性,且附着人成骨细胞的蝉翅细胞形态在24小时后仍然保持完整,表明它们仍然具有生物相容性。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 0, 0) font-family: 宋体, SimSun letter-spacing: 1px margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px "在该项研究中,研究人员尝试进行蝉翼结构的仿生制造。由于是纳米尺度的阵列结构,一般的刻蚀、沉积方法均无法实现。而常规的电子束曝光(EBL)技术也无法实现如此规模的锥体制造。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "昆士兰科技大学的研究团队strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "巧妙地利用电子束在光刻胶中的散射/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "通过控制电子束能量/strong,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "制作出椎体的“模子”/strong,然后strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "利用沉积生长出需要的椎体/strong,最后腐蚀掉所有光刻胶,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "得到了完美的纳米锥阵列/strong。/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px letter-spacing: 1px font-size: 15px color: rgb(0, 0, 0) font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d17d1ca2-607e-4fd8-a567-b4576f6cf055.jpg" title="5.jpg" alt="5.jpg"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px line-height: 21px background: white none repeat scroll 0% 0% "仿生纳米锥阵列的制作过程示意图/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-family: 宋体, SimSun margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最终制备的仿生Ti纳米锥的高度为116 ~282nm,锥形柱的顶端直径最小达13.3nm,底部直径93.6nm左右。并且,strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "进一步实验发现,其同样具有杀菌性和生物相容性/strong。昆士兰科技大学的这项研究成果对于纳米仿生学的应用具有重大意义。 br//span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="line-height: 22.5px color: black margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/77a6fae3-0d6c-41a1-889b-fb64fb4de48f.jpg" title="6.jpg" alt="6.jpg" style="width: 600px height: 192px " width="600" vspace="0" height="192" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "通过/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "EBL/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "技术制备的仿蝉翼结构的/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "纳米锥陈列/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px font-family: 宋体, SimSun "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-family: 微软雅黑, sans-serif color: rgb(0, 122, 170) background: white none repeat scroll 0% 0% "/span/em/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/d4e4f267-227c-4ac9-bef0-70e9490d9095.jpg" title="7.jpg" alt="7.jpg" style="width: 600px height: 165px " width="600" vspace="0" height="165" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "图:/span/em/span/strongspan style="margin: 0px padding: 0px max-width: 100% letter-spacing: 1px "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "E/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在制备出的仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着铜绿假单胞菌细胞;/span/em/spanem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "F/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")对照/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱和仿生纳米/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "柱上附着的人成骨细胞的活性;(/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "G/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% ")在仿生/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "Ti/span/emem style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic font-size: 14px letter-spacing: 1px "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 21px letter-spacing: 0.5px background: white none repeat scroll 0% 0% "纳米锥阵列上附着扩散良好的成骨细胞;/span/em/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术/span/span/strongspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "是一种电子束直写技术,是利用电子束在涂有对电子敏感的高分子聚合物/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px font-size: 15px letter-spacing: 1px color: rgb(136, 136, 136) "(光刻胶)/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "的基底上直接描画出图形,通过刻蚀实现微小结构的加工。电子束曝光(/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ")技术strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "避免了传统方法中对模板加工和使用的复杂过程/strong,其strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "高分辨、高度灵活性、高灵敏度/strong的特点也受到研究人员关注,且/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "EBL/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "制备方法更加简单,更容易制备出小尺寸的各种花样的周期性结构。/span/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "在上述工作中,昆士兰科技大学研究团队使用了/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN MIRA3/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "高分辨场发射扫描电子显微镜搭配/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "自主研发的电子束曝光strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-size: 15px letter-spacing: 1px text-indent: 28px white-space: normal "span style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "(/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black "EBL/spanspan style="font-size: 15px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important line-height: 22.5px color: black ")/span/strong技术/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "出色完成了相关工作。/span/span/pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 28px line-height: 1.75em "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px letter-spacing: 1px font-family: 宋体, SimSun "span style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "不久前,昆士兰科技大学新采购了一台/spanstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "span style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "最新的/spanspan style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important letter-spacing: 1px font-size: 15px line-height: 22.5px color: black "S8000X Xe Plasma FIB-SEM/span/strongspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",这是一款功能强大的氙等离子源/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "FIB/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black ",配置了/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "TESCAN/spanspan style="margin: 0px padding: 0px max-width: 100% font-size: 15px line-height: 22.5px color: black "最新一代的多项专利技术,期待昆士兰科技大学未来取得更多的研究成果!/span/span/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/05bb9e46-ded2-4c0d-87c8-d28cc7511e52.jpg" title="8.jpg" alt="8.jpg" style="width: 450px height: 314px " width="450" vspace="0" height="314" border="0"//pp style="margin: auto 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-align: center line-height: 1.75em "span style="box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% letter-spacing: 1px font-family: 宋体, SimSun "strong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px background: white none repeat scroll 0% 0% "图:/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "昆士兰科技大学最新采购的/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "TESCAN S8000X Xe/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "等离子源/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="letter-spacing: 1px color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 14px line-height: 21px font-weight: normal background: white none repeat scroll 0% 0% "FIB-SE/span/em/strongstrong style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important "em style="margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important font-style: italic "span style="color: rgb(0, 122, 170) margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important font-size: 15px line-height: 22.5px letter-spacing: 0.5px font-weight: normal background: white none repeat scroll 0% 0% "M/span/em/strong/span/pp style="margin: 0px 8px padding: 0px max-width: 100% box-sizing: border-box !important word-wrap: break-word !important clear: both min-height: 1em text-indent: 32px line-height: 1.75em "span style="font-size: 14px letter-spacing: 1px margin: 0px padding: 0px max-width: 100% box-sizing: border-box !important overflow-wrap: break-word !important color: rgb(136, 136, 136) font-family: 宋体, SimSun "注释:该项研究由昆士兰科技大学研究团队完成,相关论文目前已通过了英国皇家化学学会(Royal Society of Chemistry)评审,论文稿件已被录用,将于不久后在网上公开发布。/span/p/section/div
  • 泽攸精密携手松山湖材料实验室成功研制出电子束光刻系统
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程,而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。松山湖材料实验室精密仪器研发团队作为首批入驻实验室的团队之一,专注于材料和半导体领域的精密加工、表征和测量设备研发。团队负责人许智已从事相关研究近20年,参与承担多项国家重点研发计划专项工作及国家重大科研装备研制项目,近5年带领产业化团队研发的精密仪器成果转化填补多项国产空白,产值超亿元,产品出口美国、英国、德国、澳大利亚。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,朝着行业先进水平稳步前进。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。
  • 我国获创纪录电子束:显著促进激光电子加速器小型化
    9月18日,中科院上海光机所强场激光物理国家重点实验室徐至展院士、李儒新研究员带领研究团队,在超强超短激光驱动尾波场加速产生高亮度高品质电子束研究中取得突破性进展。研究团队提出了级联尾波场加速新方案,突破了激光尾波场加速中能散度难以压缩等重大技术瓶颈,实验获得了高亮度高品质(200-600 MeV、能散0.4-1.2%、流强1-8 kA、发散角~0.2 rms mrad)的高能电子束,电子束六维相空间亮度达到1015-16A/m2/0.1%,远高于目前国际上报道的同类研究结果,在国际上首次接近了最先进的直线加速器上所能获得的电子束亮度。  相关研究成果于9月16日在线发表于《物理评论快报》,上述论文被该国际物理学领域顶尖刊物优选(Editors’ Suggestion)为亮点论文(Highlighted Articles)发表。  发展小型化、低成本激光粒子加速器是科学家们一直梦寐以求的目标。超强超短激光驱动的尾波场电子加速器具有比传统的射频加速器高出三个量级以上的超高加速梯度,为实现小型化的高能粒子加速器等提供了全新技术途径,对未来的同步辐射装置、自由电子激光以及高能物理研究等也将带来深远的影响。近十年来,激光尾波场电子加速研究已经取得许多重要进展,但是在产生高品质电子束方面还面临诸多难题和挑战,例如能散度压缩与稳定性提高等,使其在应用方面的研究受到限制。  近年来上海光机所该研究团队在激光尾波场电子加速方向开展了独具特色的研究,国际上首次成功实现级联双尾波场准单能高能电子加速方案,实验获得了GeV级准单能电子束等重要研究成果。在本项研究中又创新地设计了级联尾波场加速新方案,通过在两段级联的等离子体之间引入一段高密度等离子体,控制电子束的稳相加速及能量啁啾反转和能散度压缩,克服了单级尾波场加速方案中能散度无法独立控制的技术瓶颈,实验获得了高品质(200-600 MeV、能散0.4-1.2%、流强1-8 kA、发散角~0.2 rms mrad)的高能电子束。电子束各项重要性能指标的全面提升,使得电子束最高的六维相空间亮度达到6.5×1015A/m2/0.1%,远高于目前国际上报道的同类研究结果,也是激光电子加速在国际上首次接近了最先进的直线加速器所能获得的电子束亮度。三维粒子模拟也揭示,该级联加速新方案能够有效的抑制电子的二次注入,实现电子束的稳相加速,并通过控制电子束的能量啁啾和压缩能散度获得低能散度、低发散角及高流强的高亮度高品质电子束。  评审专家对该研究结果给予了高度评价:“该亮度是迄今激光尾波场加速器实现的最高纪录” “相比于以前的方案,该方案通过高密度区,恰当地操控了自注入电子束的注入位相...并且电子束的能量啁啾在加速过程中能够得到补偿...是一个新的方案,在产生数百MeV具有千分之一级相对能散并高电荷量的高品质、高亮度电子束方面取得了重大进展...” “利用优化结构的密度分布产生了200-600 MeV的具有低能散度、低发散角的电子束...提出的新方法实现了创纪录的电子束流品质”。  据悉,利用该方案获得的高亮度高能电子束应用于逆康普顿散射伽马射线源产生方面也获得了突破。利用该电子束与超强超短激光对撞产生了超高亮度准单色MeV 量级伽马射线源,其最高峰值亮度达3×1022 photons s-1 mm-2 mrad-2 0.1%BW,与国际上报道的同类伽马射线源亮度相比高出一个量级以上,比传统伽马射线源同能区的峰值亮度提高了10万倍。目前,该研究团队正在开展小型化全光自由电子激光装置的研制工作。利用该级联尾波场加速新方案成功产生的高亮度高能电子束,将会显著促进小型化自由电子激光等重要领域的研究进程。
  • 1337万!大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目
    项目编号:DUTASZ-2022066项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目预算金额:1337.0000000 万元(人民币)最高限价(如有):1337.0000000 万元(人民币)采购需求:各包预算金额及最高限价:A包:X射线光电子能谱仪,预算金额:500万元;B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元;A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析;B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。本项目( 不接受 )联合体投标。
  • 蔡司推出新型SEM 可同时提供61条电子束
    蔡司将在2014年11月15日-19日,于华盛顿举行的神经系统科学年会上展出ZEISS MultiSEM 505,这是蔡司推出的一款新型扫描电镜。该仪器将会同时产生61条电子束,并提供每秒达到1220百万像素(每个像素尺寸为4nm)的捕获速度。如此高的捕获速度可用于大脑研究中神经组织成像,当前的大脑研究可以观察比以前大得多的样本。ZEISS MultiSEM 505能够适应接连不断的运行,并可以和直观的ZEN软件相兼容。  传统的扫描电镜采用单电子束,电子束在样品表面被引导着获取一个个像素来形成样本的一组图像。ZEISS MultiSEM同时使用61条电子束,可同时获取61组图像。因此,利用ZEISS MultiSEM 505现在可以快速获取大面积的图像,图像采集时间也从数年减少到只需几周而已。  ZEISS MultiSEM 505最早的使用者有美国哈佛大学Jeffrey Lichtman实验室和马克斯· 普朗克神经生物学研究所的Winfried Denk。他们都使用显微术研究大脑的结构和功能。他们的研究成果将帮助人们更好的理解阿兹海默症、帕金森症等病症的原因,以及开发相应的治疗方法。
  • KLA推出全新突破性的电子束缺陷检测系统
    p2020年7月20日KLA公司宣布推出革命性的eSL10™ 电子束图案化晶圆缺陷检查系统。该系统具有独特的检测能力,能够检测出常规光学或其他电子束检测平台无法捕获的缺陷,从而加速了高性能逻辑和存储芯片的上市时间(包括那些依赖于极端紫外线(EUV)光刻技术的芯片)。eSL10的研发是始于最基本的构架,针对研发生产存在多年的问题而开发出了多项突破性技术,可提供高分辨率,高速检测功能,这是市场上任何其他电子束系统都难以比拟的。/ppKLA电子束部门总经理Amir Azordegan表示:“利用单一的高能量电子束,eSL10系统将电子束检测性能提升到了一个新水平。在此之前,电子束检测系统不能兼顾灵敏度和产能,严重限制了实际的应用。我们优秀的研发工程团队采用了全新的方法来设计电子束架构以及算法,研制出的新系统可以解决现有设备无法解决的问题。目前,KLA将电子束检测列入对制造尖端产品至关重要的设备清单。”/ppimg style="max-width:100% max-height:100% " src="https://www.semi.org.cn/img/news/sdfffdsffsd.jpg"//pp图:针对先进的逻辑、DRAM和3D NAND器件,KLA革命性的eSL10™ 电子束图案化晶圆缺陷检测系统利用独特的技术发现甄别产品中的关键缺陷。/ppeSL10电子束检测系统具有多项革命性技术,能够弥补对关键缺陷检测能力的差距。独特的电子光学设计提供了在业界相对比较广泛的操作运行范围,能够捕获各种不同制程层和器件类型中的缺陷。Yellowstone™ 扫描模式每次可以扫描收集100亿像素的信息,支持高速运行的同时不会影响分辨率,以在较大区域内也能高效地研究潜在弱点,实现缺陷发现。Simul-6™ 传感器技术可以通过一次扫描同时收集表面、形貌、材料对比度和深沟槽信息,从而减少了在具有挑战性的器件结构和材料中识别不同缺陷类型所需的时间。凭借其先进的人工智能(AI)系统,eSL10运用了深度学习算法,能满足IC制造商不断发展的检测要求,杜绝了对器件性能影响最关键的缺陷。/pp三维器件结构,例如用于内存应用的3D NAND和DRAM,以及用于逻辑器件的FinFET和GAA(Gate-All-Around)结构,都要求晶圆厂重新考虑传统的缺陷控制策略。eSL10与KLA的旗舰39xx(“ Gen5”)和29xx(“ Gen4”)宽光谱晶圆缺陷检测系统的结合,为先进的IC技术提供了强大的缺陷发现和监测解决方案。这些系统共同合作,提高了产品的良率和可靠性,将更快地发现关键缺陷,并能够更快地解决从研发到生产的缺陷问题。/pp新推出的eSL10系统平台具有独特的扩展性,可以延申到整个电子束检测和量测应用中。全球范围内先进的逻辑器件、存储器和制程设备制造商都在使用eSL10系统,利用该系统帮助研发生产过程,提升和监测下一代产品制程和器件的制造。为了保持其高性能和生产力表现,eSL10系统拥有KLA全球综合服务网络的支持。更多关于全新电子束缺陷检测系统的其他信息,请参见eSL10产品页面。/p
  • 我国科学家利用自由电子束实现低维材料的谷电子自旋极化调控
    随着摩尔定律接近极限,传统的晶体管器件已进入发展瓶颈期,探索新一代信息材料已成为当前信息领域的研究热点。低维量子材料具有谷电子自旋的独特性质,有望成为新一代信息材料在未来6G信息技术和产业中发挥重要作用。然而,如何实现低维量子材料的谷电子自旋极化调控是推动该材料实际应用面临的重大研究挑战之一。近期,在国家重点研发计划“纳米科技”重点专项的支持下,我国科学家设计了结构对称的纳米天线与六方氮化硼/二硒化钨/六方氮化硼的金属/介质复合纳米结构,利用超高分辨电子束精准激发金属结构的圆偏振偶极电磁模式,通过近场相互作用在纳米尺度实现了对低维材料谷极化的调控。同时,研究人员发现电子束激发位点的移动(空间分辨率小于5纳米),能够在50纳米内实现谷极化的“开”和“关”,以及100纳米内的谷极化态反转。该研究提出的新型低维量子材料谷极化电子束操控方案,可指导谷电子器件纳米尺度集成,在逻辑运算、光电存储及未来量子信息研究方面具有重要意义。
  • 电镜学堂丨扫描电子显微镜的结构(一) - 电子光学系统
    这里是TESCAN电镜学堂第四期,将继续为大家连载《扫描电子显微镜及微区分析技术》(本书简介请至文末查看),帮助广大电镜工作者深入了解电镜相关技术的原理、结构以及最新发展状况,将电镜在材料研究中发挥出更加优秀的性能!扫描电子显微镜主要由电子光学系统、信号收集处理系统、真空系统、图像处理显示和记录系统、样品室样品台、电源系统和计算机控制系统等组成。第一节 电子光学系统电子光学系统主要是给扫描电镜提供一定能量可控的并且有足够强度的,束斑大小可调节的,扫描范围可根据需要选择的,形状完美对称的,并且稳定的电子束。电子光学系统主要由电子枪、电磁聚光镜、光阑、扫描系统、消像散器、物镜和各类对中线圈组成,如图3-1。图3-1 SEM的电子光学系统§1. 电子枪(Electron Gun)电子枪是产生具有确定能量电子束的部件,是由阴极(灯丝)、栅极和阳极组成。灯丝主要有钨灯丝、LaB6和场发射三类。① 钨灯丝电子枪:如图3-2,灯丝是钨丝,在加热到2100K左右,电子能克服大约平均4.5eV的逸出功而逃离,钨灯丝是利用热效应来发射电子。不过钨灯丝发射电子效率比较低,要达到实用的电流密度,需要较大的钨丝发射面积,一般钨丝电子源直径为几十微米。这样大的电子源直径很难进一步提高分辨率。还有,钨灯丝亮度差、电流密度低、单色性也不好,所以钨灯丝目前最高只能达到3nm的分辨率,实际使用的放大倍数均在十万倍以下。不过由于钨灯丝价格便宜,所以钨灯丝电镜得到了广泛的应用。图3-2 钨灯丝电子枪② LaB6电子枪:要提高扫描电镜的分辨率,就要提高电子枪的亮度。而一些金属氧化物或者硼化物在加热到高温之后(1500~2000K),也能克服平均逸出功2.4eV而发射热电子,比如LaB6,曲率半径为几微米。LaB6灯丝亮度能比钨灯丝提高数倍。因此LaB6灯丝电镜有比钨灯丝更好的分辨率。除了LaB6外,类似的还有CeB6等材料。不过目前在扫描电镜领域,LaB6灯丝价格并不便宜,性能相对钨灯丝提升有限,另外就是场发射的流行,使得LaB6灯丝的使用并不多见。图3-3 LaB6电子枪② 场发射电子枪:1972年,拥有更高亮度、更小电子束直径的场发射扫描电镜(FE-SEM)实现商品化,将扫描电镜的分辨率推向了新的高度。场发射电子枪的发射体是钨单晶,并有一个极细的尖端,其曲率半径为几十纳米到100nm左右,在钨单晶的尖端加上强电场,利用量子隧道效应就能使其发射电子。图3-4为场发射电子枪的结构示意图。钨单晶为负电位,第一阳极也称取出电极,比阴极正几千伏,以吸引电子,第二阳极为零电位,以加速电子并形成10nm左右的电子源直径。图3-5为场发射电子枪的钨单晶灯丝结构,只有钨灯丝支撑的非常小的尖端为单晶。图3-4 场发射电子枪结构示意图图3-5 场发射电子枪W单晶尖端场发射电子枪又分为冷场发射和热场发射。热场发射的钨阴极需要加热到1800K左右,尖端发射面为100或111取向,单晶表面有一层氧化锆(如图3-6),以降低电子发射的功函数(约为2.7eV)。图3-6 热场发射电子枪钨单晶尖端冷场发射不需加热,室温下就能进行工作,其钨单晶为310取向,逸出功最小,利用量子隧道效应发射电子。冷场电子束直径,发射电流密度、能量扩展(单色性)都优于热场发射,所以冷场电镜在分辨率上比热场更有优势。不过冷场电镜的束流较小(一般为2nA),稳定性较差,每个几小时需要加热(Flash)一次,对需要长时间工作和大束流分析有不良影响。不过目前Hitachi最新的冷场SEM,束流已经能达到20nA,稳定性也比以往提高了很多,能够满足一些短时间EBSD采集的需要,不过对于WDS、阴极荧光等分析还不够。热场发射虽然电子束直径、能量扩展不及冷场,但是随着技术的发展,其分辨率也越来越接近冷场的水平,有的甚至还超越了冷场。特别是热场电镜束流大,稳定性好,有着非常广阔的应用范围。从各个电镜厂商对待冷场和热场的态度来看,欧美系厂商钟情于热场电镜,而日系厂商则倾向于冷场电镜。不过目前日系中的日本电子也越来越多的推出热场电镜,日立也逐步推出热场电镜,不过其性能与自家的冷场电镜相比还有较大差距。① 各种类型电子源对比:各类电子源的对比如表3-1。表3-1 不同电子源的主要参数SEM的分辨率与入射到试样上的电子束直径密切相关,电子束直径越小,分辨率越高。最小的电子束直径D的表达式为:其中D为交叉点电子束在理想情况下的最后的束斑直径,CS为球差系数、CC为色差系数、ΔV/V0为能量扩展、I为电子束流、B为电子源亮度,a为电子束张角。由此可以看出,不同类型的电子源,其亮度、单色性、原始发射直径具有较大的差异,最终导致聚焦后的电子束斑有明显的不同,从而使得不同电子源的电镜的分辨率也有如此大的差异。通常扫描电镜也根据其电子源的类型,分为钨灯丝SEM和冷场发射SEM、热场发射SEM。§2. 电磁透镜电磁透镜主要是对电子束起汇聚作用,类似光学中的凸透镜。电磁透镜主要有静电透镜和磁透镜两种。① 静电透镜一些特定形状的并成旋转对称的等电位曲面簇可以使得电子束在库仑力的作用下进行聚焦,形成这些等电位曲面簇的装置就是静电透镜,如图3-7。图3-7 静电透镜静电透镜在扫描电镜中使用相对较少。不过电子枪外的栅极和阳极之间,自然就形成了一个静电透镜。另外一些特殊型号的电镜在某些地方采用了所谓的静电透镜设计。② 磁透镜电子束在旋转对称的磁场中会受到洛伦兹力的作用,进而产生聚焦作用。能使产生这种旋转对称非均匀磁场并使得电子束聚焦成像的线圈装置,就是磁透镜,如图3-8。图3-8 磁透镜磁透镜主要有两部分组成,如图3-9。第一部分是软磁材料(如纯铁)制成的中心穿孔的柱体对称芯子,被称为极靴。第二部分是环形极靴的铜线圈,当电流通过线圈的时,极靴被磁化,并在心腔内建立磁场,对电子束产生聚焦作用。图3-9 磁透镜结构磁透镜主要包括聚光镜和物镜,靠近电子枪的透镜是聚光镜,靠近试样的是物镜,如图3-10。一般聚光镜是强励磁透镜,而物镜是弱励磁透镜。图3-10 聚光镜和物镜聚光镜的主要功能是控制电子束直径和束流大小。聚光镜电流改变时,聚光镜对电子束的聚焦能力不一样,从而造成电子束发散角不同,电子束电流密度也随之不同。然后配合光阑,可以改变电子束直径和束流的大小,如图3-11。当然,有的电镜不止一级聚光镜,也有的电镜通过改变物理光阑的大小来改变束流和束斑大小。图3-11 聚光镜改变电流密度、束斑和束流物镜的主要功能是对电子束做最终聚焦,将电子束再次缩小并聚焦到凸凹不平的试样表面上。虽然电磁透镜和凸透镜非常像似,不过电子束轨迹和光学中的光线还是有较大差别的。几何光学中的光线在过凸透镜的时候是折线;而电子束在过磁透镜的时候,由于洛伦兹力的作用,其轨迹是既旋转又折射,两种运动同时进行,如图3-12。图3-12 电子束在过磁透镜时的轨迹§3. 光阑一般聚光镜和物镜之间都有光阑,其作用是挡掉大散射角的杂散电子,避免轴外电子对焦形成不良的电子束斑,使得通过的电子都满足旁轴条件,从而提高电子束的质量,使入射到试样上的电子束直径尽可能小。电镜中的光阑和很多光学器件里面的孔径光阑或者狭缝非常类似。光阑一般大小在几十微米左右,并根据不同的需要选择不同大小的光阑。有的型号的SEM是通过改变光阑的孔径来改变束流和束斑大小。一般物镜光阑都是卡在一个物理支架上,如图3-13。图3-13 物理光阑的支架在电镜的维护中光阑的状况十分重要。如果光阑合轴不佳,那将会产生巨大的像散,引入额外的像差,导致分辨率的降低。更有甚者,图像都无法完全消除像散。另外光阑偏离也会导致电子束不能通过光阑或者部分通过光阑,从而使得电子束完全没有信号,或者信号大幅度降低,有时候通过的束斑也不能保持对称的圆形,如图3-14,从而使得电镜图像质量迅速下降。还有,物镜光阑使用时间长了还会吸附其它物质从而受到污染,光阑孔不再完美对称,从而也会引起额外的像差,信号的衰弱和图像质量的降低。图3-14 光阑偏离后遮挡电子束因此,光阑的清洁和良好的合轴,对扫描电镜的图像质量来说至关重要。光阑的对中调节目前有手动旋拧和电动马达调节两种方式。TESCAN在电镜的设计上比较有前瞻性,所有型号的电镜都采用了中间镜技术,利用电磁线圈代替了传统的物镜光阑。中间镜是电磁线圈,可以受到软件的自动控制,并且连续可调,所以TESCAN的中间镜相当于是一个孔径可以连续可变的无极孔径光阑,而且能实现很多自动功能。 §4. 扫描系统① 扫描系统扫描系统是扫描电镜中必不可少的部件,作用是使电子束偏转,使其在试样表面进行有规律的扫描,如图3-15。图3-15 扫描线圈改变电子束方向扫描系统由扫描发生器和扫描线圈组成。扫描发生器对扫描线圈发出周期性的脉冲信号,如图3-16,扫描线圈通过产生相应的电场力使得电子束进行偏转。通过对X方向和Y方向的脉冲周期不同,从而控制电子束在样品表面进行矩形的扫描运动。此外,扫描电镜的像素分辨率可由X、Y方向的周期比例进行控制;扫描的速度由脉冲频率控制;扫描范围大小由脉冲振幅进行控制;另外改变X、Y方向脉冲周期比例以及脉冲的相位关系,还可以控制电子束的扫描方向,即进行图像的旋转。图3-16 扫描发生器的脉冲信号另外,从扫描发生器对扫描线圈的脉冲信号控制就可以看出,电子束在样品表面并不是完全连续的扫描,而是像素化的逐点扫描。即在一个点驻留一个处理时间后,跳到下一个像素点。值得注意的是扫描电镜的放大率由扫描系统决定,扫描范围越大,相应的放大率越小;反之,扫描的区域越小,放大率越大。显示器观察到的图像和电子束扫描的区域相对应,SEM的放大倍数也是由电子束在试样上的扫描范围确定。① 放大率的问题有关放大率,目前不同的电镜上有不同的形式,即所谓的照片放大率和屏幕放大率,不同的厂家或行业有各自使用上的习惯,故而所用的放大率没有明确说明而显得不一样。这只是放大率的选择定义不一样而已,并不存在放大率不同的问题。首先是照片放大率。照片放大率使用较早,在数字化还不发达的年代,扫描电镜照片均是用照片冲洗出来。业内普遍用宝丽来的5英寸照片进行冲洗。所用冲洗出来的照片的实际长度除以照片对应样品区域的实际大小之间的比值,即为照片放大率。不过随着数字化的到来,扫描电镜用冲洗出来的方式进行观察已经被淘汰,扫描电镜几乎完全是采用显示器直接观察。所以此时用显示器上的长度除以样品对应区域的实际大小,即为屏幕放大率。同样的扫描区域,照片放大率和屏幕放大率会显示为不同的数值。不过不管采用何种放大倍数,在通常的图片浏览方式下,其放大率通常都不准确。对于照片放大率来说,只有将电镜图像冲印成5英寸宝丽来照片时观察,其实际放大倍数才和照片放大率一致,否则其它情况都会存在偏差;对屏幕放大率来说,只有将电镜照片在控制电镜的电脑上,按照1:1的比例进行观察时,实际放大倍数才和屏幕放大率一致。否则照片在电脑上观察时放大、缩小、或者自适应屏幕,或者照片被打印成文档、或者被投影出来、或者不同的显示器之间会有不同的像素点距,都会造成实际放大率和照片上标出的放大率不同。不过不管如何偏差,照片上的标尺始终一致。所以在针对放大率倍数发生争执时,首先要弄清楚照片上标的放大倍数为何种类型,尽量回避放大率的定义,改用视野宽度或者标尺来进行比对。 §5. 物镜扫描电镜的物镜也是一组电磁透镜,励磁相对较弱,主要用于电子束的最后对焦,其焦距范围可以从一两毫米到几厘米范围内做连续微小的变化。① 物镜的类型:物镜技术是相对来说比较复杂,不同型号的电镜可能其它部件设计相似,但是在物镜技术上可能有较大的差异。目前场发射的物镜通常认为有三种物镜模式,即所谓的全浸没式、半磁浸没式和无磁场式,如图3-17。或者各厂家有自己特定的名称,但是业界没有统一的说法,不过其本质是一样的。图3-17 全浸没式(左)、无磁场式(中)、半磁浸没式(右)透镜A.全浸没式:也被称为In-LensOBJ Lens,其特点是整个试样浸没在物镜极靴以及磁场中,顾名思义叫全浸没模式。但是其试样必须做的非常小,插入到镜筒里面,和TEM比较类似。这种电镜在市场里面非常少,没有引起人们的足够重视。B.无磁场式:也叫Out-lensOBJ Lens,这也是电镜最早发展起来的,大部分钨灯丝电镜都是这种类型的物镜。此类电镜的特点是物镜磁场开口在极靴里面,所以物镜产生的磁场基本在极靴里面,样品附近没有磁场。但是绝对不漏磁是不可能的,只要极靴留有让电子束穿下来的空隙,就必然会有少量磁场的泄露。这对任何一家电镜厂商来说都是一样,大家只能减少漏磁,而不可能彻底杜绝漏磁,因为磁力线总是闭合的。采用这种物镜模式的电镜漏磁很少,做磁性样品是没有问题的。特别是TESCAN的极靴都采用了高导磁材料,进一步减少了漏磁。TESCAN的VEGA、MIRA、LYRA系列均是采用此种物镜。C. 半磁浸没式:为了进一步提高分辨率,厂商对物镜做了一些改进。比较典型的就是半浸没式物镜,也叫semi-in-lens OBJ Lens。因为全浸没式物镜极少,基本别人忽视,所以有时候也把半浸没式物镜称为浸没式物镜。半浸没式物镜的特点是极靴的磁场开口是在极靴外面,故意将样品浸没在磁场中,以减少物镜的球差,同时产生的电子信号会在磁场的作用下飞到极靴里面去,探测器在极靴里面进行探测。这种物镜最大的优点是提高了分辨率,但是缺点是对磁性样品的观察能力相对较弱。为了弥补无磁场物镜分辨率的不足和半浸没物镜不能做磁性样品的缺点,半磁浸没物镜的电镜一般将无磁场式物镜和半磁浸没式物镜相结合,形成了多工作模式。从而兼顾无磁场和半浸没式的优点,做特别高的分辨率时,使用浸没式物镜(如TESCAN MAIA3和GAIA3的Resolution模式),做磁性样品的时候,关闭浸没式物镜使用一般的物镜(如TESCAN的Field模式)。从另一个角度来说,在使用无磁场模式物镜时,对应的虚拟透镜位置在镜筒内,距离样品位置较远;使用半浸没式物镜时,对应的透镜位置在极靴下,距离样品很近。根据光学成像的阿贝理论也可以看出,半浸没式物镜的分辨率相对更高,如图3-18。图3-18 无磁场式(左)和半磁浸没式(右)透镜对应的位置① 物镜的像差电磁透镜在理想情况下和光学透镜类似,必须满足高斯成像公式,但是光学不可避免的存在色差和像差以及衍射效应,在电子光学中一样存在。再加上制造精度达不到理论水平,磁透镜可能存在一定的缺陷,比如磁场不严格轴对称分布等,再加上灯丝色差的存在,从而使得束斑扩大而降低分辨率。所以减少物镜像差也一直是电镜在不断发展的核心技术。A.衍射的影响:由于高能电子束的波长远小于扫描电镜分辨率,所以衍射因子对分辨率的影响较小。图3-19 球差、色差、衍射的对束斑的影响B.色差的影响:色差是指电子束中的不同电子能量并不完全相同,能量范围有一定的展宽,在经过电磁透镜后焦点也不相同,导致束斑扩大。不同的电子源色差像差很大,也造成了分辨率的巨大差异。C.像差的影响:像差相对来说比较复杂,在传统光学理论中,由于成像公式都是基于旁轴理论,所以在数学计算上做了一定的近似。不过如果更严格的考虑光学成像,就会发现在光学成像中存在五种像差。a. 球差:电子在经过透镜时,近光轴的电子和远光轴电子受到的折射程度不同,从而引起束斑的扩大。而电镜中的电子束不可能细成完美的一条线,总会有一定的截面积,故而球差总是存在。不过球差对扫描电镜的影响相对较小,对透射电镜的影响较大。b. 畸变:原来横平竖直的直线在经过透镜成像后,直线变成曲线,根据直线弯折的情况分为枕形畸变和桶形畸变,如图3-20。不过在扫描电镜中因为倍数较大,所以畸变不宜察觉,但是在最低倍率下能观察到物镜的畸变。特别是扫描电镜的视场往往有限,有的型号的电镜具有了“鱼眼模式”,虽然增加了视场但却增加了畸变。TESCAN的电镜很有特点,利用了独特的技术,既保证了大视野,又将畸变减小到了最低甚至忽略不计,如图3-21。图3-20 透镜的畸变图3-21鱼眼模式和TESCAN的视野模式c. 像散:像散是由透镜磁场非旋转对称引起的一种像差,使得本应呈圆形的电子束交叉点变成椭圆。这样一个的束斑不再是完美对称的圆形,会严重影响电镜的图像质量。以前很多地方都说极靴加工精度、极靴材料不均匀、透镜内线圈不对称或者镜头和光阑受到污染,都会产生像散。但是,像散更是光学中的一种固有像差,即使极靴加工完美,镜头、光阑没有污染,也同样会有像散。当然由于加工及污染的问题,会进一步加大像散的影响。在光学理论中,不在光轴上的物点经过透镜后,用屏去截得到的光斑一般不再是圆形。其中有三个特殊位置如图3-23,一个叫做明晰圆位置,这里的光斑依然是圆形;而另外两个特殊的位置称为子午与弧矢,这里截到的是两条正交的直线;其它任意位置截到的是一个会随位置而变化的椭圆。图3-22 电镜中的消像散图3-23 光学理论中的像散 对于电子束来说也一样,原来圆形的束斑在经过电磁透镜后,会因为像散的存在变得不再是完美的圆形,引起图像质量的降低。要消除像散需要有消像散线圈,它可以产生一个与引入像散方向相反、大小相等的磁场来抵消像散,为了能更好的抵消各个方向的像散,消散线圈一般都是两组共八级线圈,构成一个米字形,如图3-24。如果电镜的像散没有消除,那么图像质量会受到极大的影响。图3-24 八级消像散线圈d. 慧差和像场弯曲:慧差也总是存在的,只是在扫描电镜中不易被发觉,不过在聚焦离子束中对中状况不好时可以发现慧差的存在;由于扫描电镜的成像方式和TEM等需要感光器件的仪器不同,像场弯曲在扫描电镜中也很难发现。慧差和像场弯曲在扫描电镜中都可以忽略。 福利时间每期文章末尾小编都会留1个题目,大家可以在留言区回答问题,小编会在答对的朋友中选出点赞数最高的两位送出本书的印刷版。奖品公布上期获奖的这位童鞋,请后台私信小编邮寄地址,我们会在收到您的信息并核实后即刻寄出奖品。【本期问题】哪种物镜设计的扫描电镜可以观测磁性样品(特指可充磁性样品)?(快关注微信去留言区回答问题吧~)简介《扫描电子显微镜及微区分析技术》是由业内资深的技术专家李威老师(原上海交通大学扫描电镜专家,现任TESCAN技术专家)、焦汇胜博士(英国伯明翰大学材料科学博士,现任TESCAN技术专家)、李香庭教授(电子探针领域专家,兼任全国微束分析标委会委员、上海电镜学会理事)编著,并于2015年由东北师范大学出版社出版发行。本书编者都是非常资深的电镜工作者,在科研领域工作多年,李香庭教授在电子探针领域有几十年的工作经验,对扫描电子显微镜、能谱和波谱分析都有很深的造诣,本教材从实战的角度出发编写,希望能够帮助到广大电镜工作者,特别是广泛的TESCAN客户。↓ 往期课程,请关注微信“TESCAN公司”查阅以下文章:电镜学堂丨扫描电子显微镜的基本原理(一) - 电子与试样的相互作用电镜学堂丨扫描电子显微镜的基本原理(二) - 像衬度形成原理电镜学堂丨扫描电子显微镜的基本原理(三) - 荷电效应
  • TESCAN 正式发布一款全新的用于SEM和FIB-SEM系统中电子束曝光(EBL)的软硬件解决方案
    TESCAN Essence™ EBL套件包含软件模块,可通过Essence™ 电镜控制软件直接控制电子束曝光(EBL)工艺,从而高效地实现微米和纳米级结构与器件的原型设计。 2021年1月29日,TESCAN ORSAY HOLDING a.s. 正式发布 EssenceTM EBL(电子束曝光)套件,这是一款完全集成的专用的解决方案,可以配置在TESCAN SEM和FIB-SEM仪器上,它与TESCAN的快速静电束闸配合使用,为实验室研究提供了更多的可能,在满足对微米和纳米级结构及器件进行原型设计的同时,还可以实现SEM和FIB-SEM的成像及分析功能。 电子束曝光是一种使用聚焦电子束在覆盖有电子敏感膜(抗蚀剂)的表面上绘制图案的技术。该技术在原型制作中很常见,可在各种基板上制备具有特定形状、尺寸和材料成分的微结构和纳米结构,例如传感器、光子、等离子体、自旋电子器件、MEMS、微流体以及用于细胞生长的表面。 TESCAN TOF-SIMS及纳米成型方向应用产品经理Tomáš Šamořil 曾说:“在大学或其它机构的小型科研团队中,仪器通常由多个团队共享,因此功能多样性、易用性和可靠性是购买新设备时需要考虑的主要问题,通过将SEM或FIB-SEM的功能扩展到中级电子束曝光应用,就能够满足表征和原型制作的需求。”在SEM或FIB-SEM上增加电子束曝光技术后,研究人员可以充分利用这一平台的分析能力,在完成曝光过程后快速验证结构、尺寸或材料组成。电子束曝光(EBL)与聚焦离子束(FIB)和气体注入系统(GIS)技术提供的精密材料刻蚀,已被证明是一种强大的组合,可用于光子学、光学、等离子体、磁学、生物学及电子学中微米和纳米器件快速原型设计。 TESCAN EssenceTM EBL套件包括软件模块,该模块完全集成在TESCAN的EssenceTM电镜控制软件中,并使用开源的第三方图案编辑器KLayout执行所有必需的光刻步骤。这样使操作变得非常容易,简化了混合技术的设计过程,无需进行复杂的数据处理。欲了解TESCAN EssenceTM EBL 详情,请点击此处。
  • 首个电子束处理工业废水技术标准颁布 填补国际标准空白
    p  2018年3月30日,由中广核核技术发展股份有限公司(以下简称:中广核技)旗下中广核达胜加速器技术有限公司(下称中广核达胜) 联合清华大学发起并主编的《电子束处理印染和造纸工业废水技术规范》(下称技术规范)正式颁布。据了解,该技术规范是全球电子束处理工业废水应用领域的首个技术标准,填补了国际标准空白。技术规范将于2018年5月30日起正式实施。/pp style="text-align: center "img src="http://img1.17img.cn/17img/images/201804/insimg/c3da589d-979b-44ff-af8a-ef7797091e8e.jpg" title="a0IP-fyssmme6730460.jpg"//pp  中广核技表示,技术规范正式颁布是继中广核达胜建成全球唯一在运示范工程、科技成果通过中国核能行业协会鉴定、中国首个产业化项目落地浙江等三个重要节点之后,我国电子束处理工业废水技术再次取得的重大进展。技术规范为行业发展树立了一个标准,将有利于推动电子束处理工业废水技术在印染和造纸行业的大规模推广应用。/pp  据环境统计年报数据显示,2015年我国工业废水排放总量199.5亿吨,其中印染和造纸工业废水排放量占比约1/4。专家表示,印染和造纸工业废水总量大、污染物成分复杂,含有大量难以生物降解的有害物质,相比其他手段,利用电子束技术处理的废水净化程度更高,处理效果更好,还可实现废水高标准排放或中水回用。/pp  公开资料显示,电子束处理工业废水技术除了可以深度处理印染和造纸工业废水,还可应用于化工、制药等行业废水处理,水质复杂的工业园区废水处理,以及特殊有害物质(如抗生素废水、菌渣)的无害化处理。随着技术的进步,未来还可用于医疗废水废物处理、垃圾焚烧尾气二噁英处理等领域。/pp  作为技术规范的发起和主编单位之一,中广核达胜承担了电子束处理废水的原理和方法、装置和流程、过程控制和质量控制、运行维护和管理等核心内容的编制。目前已展开电子束处理工业废水技术的商业应用推广,正在为国内外数家大中型排污企业提供解决方案。/pp  据悉,技术规范于2017年5月由中国核学会批准立项,2017年底完成编写工作,2018年3月获得批准和发布。中国原子能科学研究院、上海大学、苏州中核华东辐照有限公司、中国核学会以及核工业标准化研究所等单位共同参编了技术规范。/p
  • 大连理工大学1337.00万元采购电子束刻蚀,扫描探针,X光电子能谱
    详细信息 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 辽宁省-大连市-甘井子区 状态:公告 更新时间: 2022-06-07 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 项目概况 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 招标项目的潜在投标人应在大连市甘井子区软件园路80号科技园大厦B座601室获取招标文件,并于2022年06月28日 09点00分(北京时间)前递交投标文件。 一、项目基本情况 项目编号:DUTASZ-2022066 项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 预算金额:1337.0000000 万元(人民币) 最高限价(如有):1337.0000000 万元(人民币) 采购需求: 各包预算金额及最高限价: A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析; B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。 本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。 注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。 合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。 本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定; 2.落实政府采购政策需满足的资格要求: 1)非专门面向中小企业采购项目;2)中小微企业、监狱企业、残疾人福利性单位、节能、环保产品优先采购等 3.本项目的特定资格要求:代理商须具有制造商合法有效授权(国产设备除外)。 三、获取招标文件 时间:2022年06月08日 至 2022年06月14日,每天上午8:00至11:30,下午13:00至17:00。(北京时间,法定节假日除外) 地点:大连市甘井子区软件园路80号科技园大厦B座601室 方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 售价:¥500.0 元,本公告包含的招标文件售价总和 四、提交投标文件截止时间、开标时间和地点 提交投标文件截止时间:2022年06月28日 09点00分(北京时间) 开标时间:2022年06月28日 09点00分(北京时间) 地点:大连市甘井子区软件园路80号科技园大厦B座609室。 五、公告期限 自本公告发布之日起5个工作日。 六、其他补充事宜 1.获取招标文件时间:2022年6月8日8:00-2022年6月14日17:00(双休日及法定节假日除外)。 2.获取文件方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 3.获取文件地点:大连市甘井子区软件园路80号科技园大厦B座601室。 4.现场报名:在招标文件发售期内,报名时携带营业执照(或事业单位法人证书)复印件、法定代表人身份证明原件或法人授权委托书原件及被授权人身份证原件,上述证明材料复印件须加盖企业公章,报名后,发售招标文件。 5.通过电子邮箱提交报名材料扫描件进行报名:在招标文件发售期内,申请报名和购买招标文件的投标人请将营业执照(或事业单位法人证书)副本复印件、法定代表人身份证明(法定代表人报名提供)或法定代表人授权委托书(授权委托人报名提供,应附法人代表和被授权人的身份证明复印件)、《报名及购买文件登记表》(格式自拟,须含法定代表人或授权委托人的电子邮箱、联系电话、办公电话、包号等)、招标文件费汇款凭证(招标文件费须以公司电汇方式至采购代理人公司银行账户,须备注项目名称及投标人名称)、上述材料加盖公章、扫描后发至电子邮箱710578087@qq.com,经采购代理人确认报名后,发售招标文件。 6.最高限价(如有):1337万元(人民币) A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; 7.投标保证金:A包:10万元,B包:16万元,保证金形式及缴纳方式见招标文件。 8.公司名称:大连理工招标代理有限公司; 开户行:农行高新技术产业园支行; 账号:34263001040002404; 行号:103222006805。 注:1.如投标人为“通过电子邮箱提交报名材料扫描件进行报名”,招标文件费以实际到账时间为准,报名截止时间后收到的材料及费用不予认可。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;84709969 2.采购代理机构信息 名称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠;0411-39700100 3.项目联系方式 项目联系人:李楠 电 话:0411-39700100 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;0411-84709969 2.采购代理机构信息 名 称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠 0411-39700100 3.项目联系方式 项目联系人:李楠 电 话: 0411-39700100 × 扫码打开掌上仪信通App 查看联系方式 基本信息 关键内容:电子束刻蚀,扫描探针,X光电子能谱 开标时间:2022-06-28 09:00 预算金额:1337.00万元 采购单位:大连理工大学 采购联系人:点击查看 采购联系方式:点击查看 招标代理机构:大连理工招标代理有限公司 代理联系人:点击查看 代理联系方式:点击查看 详细信息 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 辽宁省-大连市-甘井子区 状态:公告 更新时间: 2022-06-07 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目公开招标公告 项目概况 大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 招标项目的潜在投标人应在大连市甘井子区软件园路80号科技园大厦B座601室获取招标文件,并于2022年06月28日 09点00分(北京时间)前递交投标文件。 一、项目基本情况 项目编号:DUTASZ-2022066 项目名称:大连理工大学X射线光电子能谱仪和电子束曝光系统等采购项目 预算金额:1337.0000000 万元(人民币) 最高限价(如有):1337.0000000 万元(人民币) 采购需求: 各包预算金额及最高限价: A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; A包:X射线光电子能谱仪1套,用于材料表面结构分析检测,实现样品表面的元素组成及化学键状态的定性和定量分析; B包:电子束曝光系统1套、原子力显微镜1套 用于材料表面微观结构分析,满足对纳米级光刻加工技术的需求等3套教学与科研用仪器,改善实验室教学与科研条件,完善实验课程内容,提升科研实验水平及能力,具体要求详见招标文件。 本项目“A包:X射线光电子能谱仪,B包:电子束曝光系统、原子力显微镜”可提供进口产品。进口产品是指通过中国海关报关验放进入中国境内且产自关境外的产品。 注:A包、B包兼投不兼中,投标人只能成为一个包的中标人(具体内容及要求详见招标文件)。 合同履行期限:A包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格;B包:自签订合同之日起210日历日内货到采购人指定地点安装调试验收合格。 本项目( 不接受 )联合体投标。 二、申请人的资格要求: 1.满足《中华人民共和国政府采购法》第二十二条规定; 2.落实政府采购政策需满足的资格要求: 1)非专门面向中小企业采购项目;2)中小微企业、监狱企业、残疾人福利性单位、节能、环保产品优先采购等 3.本项目的特定资格要求:代理商须具有制造商合法有效授权(国产设备除外)。 三、获取招标文件 时间:2022年06月08日 至 2022年06月14日,每天上午8:00至11:30,下午13:00至17:00。(北京时间,法定节假日除外) 地点:大连市甘井子区软件园路80号科技园大厦B座601室 方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 售价:¥500.0 元,本公告包含的招标文件售价总和 四、提交投标文件截止时间、开标时间和地点 提交投标文件截止时间:2022年06月28日 09点00分(北京时间) 开标时间:2022年06月28日 09点00分(北京时间) 地点:大连市甘井子区软件园路80号科技园大厦B座609室。 五、公告期限 自本公告发布之日起5个工作日。 六、其他补充事宜 1.获取招标文件时间:2022年6月8日8:00-2022年6月14日17:00(双休日及法定节假日除外)。 2.获取文件方式:现场报名或通过电子邮箱提交报名材料扫描件进行报名。 3.获取文件地点:大连市甘井子区软件园路80号科技园大厦B座601室。 4.现场报名:在招标文件发售期内,报名时携带营业执照(或事业单位法人证书)复印件、法定代表人身份证明原件或法人授权委托书原件及被授权人身份证原件,上述证明材料复印件须加盖企业公章,报名后,发售招标文件。 5.通过电子邮箱提交报名材料扫描件进行报名:在招标文件发售期内,申请报名和购买招标文件的投标人请将营业执照(或事业单位法人证书)副本复印件、法定代表人身份证明(法定代表人报名提供)或法定代表人授权委托书(授权委托人报名提供,应附法人代表和被授权人的身份证明复印件)、《报名及购买文件登记表》(格式自拟,须含法定代表人或授权委托人的电子邮箱、联系电话、办公电话、包号等)、招标文件费汇款凭证(招标文件费须以公司电汇方式至采购代理人公司银行账户,须备注项目名称及投标人名称)、上述材料加盖公章、扫描后发至电子邮箱710578087@qq.com,经采购代理人确认报名后,发售招标文件。 6.最高限价(如有):1337万元(人民币) A包:X射线光电子能谱仪,预算金额:500万元; B包:电子束曝光系统,预算金额:568万元、原子力显微镜,预算金额:269万元; 7.投标保证金:A包:10万元,B包:16万元,保证金形式及缴纳方式见招标文件。 8.公司名称:大连理工招标代理有限公司; 开户行:农行高新技术产业园支行; 账号:34263001040002404; 行号:103222006805。 注:1.如投标人为“通过电子邮箱提交报名材料扫描件进行报名”,招标文件费以实际到账时间为准,报名截止时间后收到的材料及费用不予认可。 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;84709969 2.采购代理机构信息 名称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠;0411-39700100 3.项目联系方式 项目联系人:李楠 电 话:0411-39700100 七、对本次招标提出询问,请按以下方式联系。 1.采购人信息 名 称:大连理工大学 地址:大连理工大学采购与招标管理办公室(大连理工大学南门科技园C座)411室 联系方式:李老师;0411-84709969 2.采购代理机构信息 名 称:大连理工招标代理有限公司 地 址:大连市甘井子区软件园路80号科技园大厦B座601室 联系方式:李楠 0411-39700100 3.项目联系方式 项目联系人:李楠 电 话: 0411-39700100
  • 电子束对样品的热损伤及应对方式——安徽大学林中清33载经验谈(16)
    【作者按】在进行扫描电镜测试时,最让测试者感到头痛的往往是电子束对样品的热损伤。因为一旦产生热损伤,那么样品的表面形貌信息将彻底的消失。热损伤和荷电现象都会带来形貌像的形变,因此很多人(包括不少专业人士)都将样品的荷电做为形成样品热损伤的原因之一。其实这是个误解,样品荷电现象虽然对形貌像有改变,但是它不会对样品形成破坏,在改变测试条件克服荷电影响后,还是可以得到完整形貌像。但是热损伤就不是这样了,一旦发生热损伤,则该样品细节将不复存在,此后无论采取何种方式都无法获取这些信息。热损伤是如何形成的?那些样品容易形成热损伤?又有哪些因素是造成样品热损伤的关键因素?该采取何种方法来减轻或消除电子束对样品热损伤,获得相对完整的样品信息?一、电子束对样品热损伤的形成当高能电子束轰击样品时,高能电子束会与样品原子之间形成能量交换,形成所谓的“非弹性散射”。交换的能量中只有很少的一部分用于激发样品的特种信息,二次电子、光电子等,大部分能量都将转换成热能而驻留在样品中,使得样品局部温度上升,达到一定程度,就会对该处细节形成破坏,也就是热损伤。高能电子束轰击样品形成局部温度上升,该处升温究竟能达到多少呢?关于这一点目前都是以Castang升温公式为参考。依据Castang升温公式:V0(kV) 加速电压,i(μA) 探针电流,d(μm) 电子束直径,K 材料热导(Wcm-1k-1)其中加速电压、束流及束斑大小是造成样品升温的主要外部因素。而样品本身的热导率是形成温度上升的主要内部因素。一般观点都认为,容易形成荷电的样品,其漏电性(普遍被称为是导电性,但个人认为这个定义不准确)都较差。漏电性较差的部位,其导热性也较差,因此该部位更容易形成高温造成的热损伤。但是温度的升高与形成热损伤并不形成完整的一一对应关系,还与该处的耐热性有关。如果该处的导热性差,但其耐热性好,也一样很难形成热损伤,所以容易形成荷电的样品,即便其导热性较差,也不一定会比不易荷电的样品形成热损伤的概率要大。形成样品局部升温的外部因素,如加速电压、束流以及束斑直径,往往被认为是测试时调整样品热损伤影响的主要着力点。依据以上升温公式可知加速电压及束流越大,则同等条件下某区域的升温也就越高,对样品的热损伤也就越严重,但会受到束斑面积增大等因素的制约,最终结果取决正、负因素竞争后引起质变的主导者。这是对测试条件进行改变的依据所在,将在下一节再详细探讨。不同类型的电子枪,由于结构设计的差异,会使得同样加速电压下对电子束加速的最终电场偏压出现一定的差异,造成电子束的电子能量出现些微不同,而使得其在同等条件下对样品的热损伤也会出现差别。一般来说,冷场电子枪最终形成电子束的电子能量会略低一些,所以其对样品的热损伤在同等条件下也会略好一些。由于热发射电子枪慢慢的被淘汰,而且其常规测试条件和目前占据主流地位的场发射电子枪不在一个水平线上,所以不具备对比的意义。下面将只对热场电子枪和冷场电子枪结构进行探讨。从以上热场和冷场电子枪的结构简图可见,加速电压都做为基准的负偏压以开路的形态加载在阴极(灯丝)上,以保证阳极为零电位。这一点热场和冷场都是一致的。但是热场电子枪在第一阳极和阴极之间加了一个栅极保护极,屏蔽热电子,该电极上加载的负偏压是叠加在阴极之上,故栅偏压比阴极偏压更低。因此在第一阳极拔出电子时给电子的加速就应该以一个更负的偏压基础来计算,也就是整个电场的偏压值会有所增加,从而使电子束中电子的能量会略大一些。由于电场的叠加作用并不是简单的一加一,所以电子束中电子能量的差别也不能采用简单的加减法来进行计算。该差异在高加速电压时,相对较小,据次要地位。但随加速电压值的降低,其在电子整体能量中的占比就会增加。加速电压达到100V后,该差异的影响就不得不考虑。冷、热场也会呈现出信息深度上的差异。低于100V,加速电压值基本无法代表电子的实际能量值。电子能量真低于100eV,能充分激发最高能量为50eV的二次电子?从以上两张原子力显微镜的图片可见,湿法膜结构为骨节状骨架表面有一薄膜层。膜层应该是非常的薄,估计只有几个纳米。扫描电镜采用极低的加速电压100V来观察可见如下结果:左图某冷场发射扫描电镜图,图像骨节状信息不清晰,明显感觉有膜状物裹挟。右图某热场发射扫描电镜图,骨节状的结构清晰可见,表层薄膜信息却较为的淡薄。加速电压相同,热场观察到的信息更深一些,这说明在同样加速电压下,热场电子束的能量是要大于冷场扫描电镜。但是这个能量差在加速电压较高时,相对较小,图像差异也就不明显了。当加速电压到500V的时候,电子束中电子能量的相对差距相比100V来说要低很多,图像呈现的信息几乎一致。正是电子束的能量存在些微差距,这就会使得冷场扫描电镜在相同条件下对样品的热损伤会相对轻微一些。枝晶MOF,容易被电子束热损伤左图 热场只能观察不易受热损伤的粗枝晶而无法观察到如右图的细枝晶右图 冷场即便观察更容易被热损伤的细枝晶也不存在问题电子束在样品上扫描区域的面积越小,电子束能量转换也就越集中,形成的热量密度也越大,相对来说对样品热损伤也会增强。这就是倍率越高,样品越容易受电子束热损伤的主要原因。增大束流,对样品的热损伤会加大,但是受到束斑尺寸的制约。依据Castang公式束流的影响综合表现为束流密度对升温的影响,束流密度冷场要高于热场,但是以上的事例呈现的结果却于此相反。因此个人认为:电子能量的大小对热损伤的影响似乎更为关键。二、如何应对电子束对样品的热损伤Castang的升温公式告诉我们,引起样品表面升温的因素来自两个方面:样品自身的导热性这是内因,而外因在于加速电压、束流和束斑尺寸的大小。这些因素也是我们改善电子束对样品热损伤的切入点。增加样品热导率,降低加速电压和束流,增加束斑尺寸及束斑离散度,都会减轻电子束对样品热损伤的程度。但这些改变都会对扫描电镜的测试结果带来负面影响,因此对“度”的掌控,找寻最合理的测试条件的综合解决方案,是应对电子束对样品热损伤的最佳选择。电子显微镜冷冻操作技术的发展,为应对样品的热损伤开拓了更大的空间。显而易见,降低样品温度会减少电子束对样品的热损伤,特别在液氮降温技术被成熟运用之后,效果极为明显。但冷冻技术的操作较复杂、成本较高且会带来样品仓室污染,影响仪器的分辨能力,目前运用的并不广泛。下面仅探讨常温下的热损伤解决方案。在探讨这一综合解决方案之前,将首先对以上单一解决方案的具体操作方式给予一一的描述。2.1 应对样品热损伤的内部因素调控改善样品性能应对电子束的热损伤,必须以尽量减少对表面形貌的破坏为先决条件。对于该项工作的实际操作方式,依据个人的实践经验可总结为:合理的样品老化,以便增加样品对热损伤的耐受力;适度的蒸金以提升样品表面的导热性。采用导电胶对样品的充分固定是进行以上操作的先期必要步骤,导电胶要涂至样品表面。在样品可耐受的温度范围内,对样品整体进行烘烤老化,一般需几个小时或过夜甚至更长时间,尽可能去除样品表面附着的挥发物。需要的话,可将样品在电镜中采用低剂量的电子束(较低的加速电压和束流)在低倍率下轰击直至稳定,这期间要监控样品在电子束的轰击下是否会出现形貌的变化,如果出现形貌的改变则必须将电子源能量进一步降低。如果样品老化效果不佳,则可以采用蒸金的方式以改善样品表面的导热能力,减少电子束对样品的破坏。样品表面蒸金须考虑以下几个影响样品形貌信息的事宜:①蒸金时对样品的热损伤。②蒸金量对样品形貌信息的覆盖。③镀层的均匀性,保证在较少蒸金量的情况下有更好的导热性。要满足以上三点,控制好电流和单次蒸金时间极为关键,个人认为单次蒸金时间最好不要超过20秒。低剂量的多次、短时间蒸镀是解决问题的最佳方案。具体蒸金量可通过实际观察效果予以调整。2.2 应对样品热损伤的外部因素调控依据Castang升温公式,较低的加速电压和束流强度,较大的束斑尺寸都会使得同等条件下样品观察区域的温度上升较小,对样品细节的热损伤也会较轻或基本不会形成热损伤。但过低的加速电压和束流,以及较大的束斑尺寸会影响图像质量并限缩样品形貌信息的获取,具体探讨可参见经验谈8《加速电压和束流选择》。要获取更充分的样品形貌信息必须扩大这些测试条件的选择范围。工作距离、图像倍率以及电子束扫描速度的选择都会对样品的热损伤产生较大的影响。而在对它们做出合理的选配之后将会极大的扩大加速电压、束流以及束斑尺寸的选择余地。工作距离越小,电子束的会聚角就会增大,电子束的束流密度将会增加,从而在同等条件下对样品的热损伤也会加大。样品的热损伤常常会出现在高倍率的调整过程中(如上图红框部)。表现为高倍率调整部位的细节与周边细节极度的脱节,被热损伤的部位细节明显的收缩并加粗,这些都显现在了左图采用1.7mm工作距离所获取的形貌像中。右图采用8.7mm工作距离所获取的形貌像在相同部位则与周边细节的变化完全的匹配,未受到电子束的热损伤。但是工作距离的过度拉大,会使得电子束斑的弥散加大,不利于获取高质量的高倍率形貌像。故测试时要取、舍得当,没有舍哪来取。依据个人经验,当工作距离达到15mm以后,由于电子束弥散较大,电子束对样品的热损伤会降低的极为明显。因此,对加速电压和束流的限制会下降很多,对它们的选择空间将明显加大。扫描电镜的放大倍率越低,电子束在样品上的扫描密度就越稀松。使得电子束在样品上产生的热量较为分散,局部温度降低的较为明显,对样品的热损伤也会减弱。在常规测试时,往往会发现电子束对样品的热损伤都是出现在高倍率的仪器调整(调焦及消像散)时。当电子束在样品上快速移动时,电子束在某点停留时间的减少,也会将单次能量的转换量降下来,同样也会减缓温度的提升并随电子束的快速移动而发散开来。大量的实践经验告诉我们,对样品某点的热损伤除了升温的高低之外,关键还在于驻留时间的长短。同等条件,驻留时间越短电子束对样品的热损伤越小。因此采用快速扫描获取样品的形貌像也是克服样品热损伤的有效方法。依据本人长期测试经验,应对样品热损伤,在外部因素的调控方面,选用较大的工作距离以及快速的扫描方式获取图像,对减缓热损伤的效果要远高于在加速电压、束流及束斑尺寸方面的选择。2.3 如何应对样品的热损伤以下内容为本人数十年,特别是近十年的经验总结,仅作参考。要充分应对样品的热损伤,样品的处理极为关键。而样品处理在2.1节已有较为详细地描述,这里要强调的是,固定是最先要做的基本工作,因为样品的整体固定不但是解决图像漂移的基础(容易热损伤的样品本身就不稳定)同时也为后期的导热提供通路。样品的老化和金属化(蒸镀金属材料)要采用低剂量的叠加方式尝试着来,随时观察判断并调整极为关键,否则很容易破坏样品的细节。对测试条件的选择,加速电压和束流的选择要以获取样品信息为准,兼顾其对样品热损伤的影响。对热损伤的处理主要交给工作距离和获取形貌像时的扫描速度来解决,这样效果反而更好。大工作距离有利于获取样品的大部分表面形貌信息,同时也有利于减弱电子束对样品的热损伤。快速的扫描模式虽然会影响形貌像的图像质量,但是并不会对形貌信息产生太大的影响,而加速电压和束流选择的不同对获取样品的细节信息,影响就要大很多。电子束对样品的热损伤最容易出现在高倍率情况下的像散和焦点调整,因为此时电子束会长时间的汇聚在某一区域。电子束的长时间驻留对样品热损伤要大于温度的影响,当然这都是在一定“度”的范围内。在进行调整操作时会形成样品热损伤,不一定在拍摄形貌像时也存在热损伤,关键是你要调整好拍摄形貌像时的电子束扫描速度。所以调焦和消像散应当采取“临近点调焦”的原则,利用多个临近点的对中、调焦和消像散来减轻拍摄点的热损伤现象。三、结束语扫描电镜测试时电子束对样品的热损伤是最让测试者头痛的问题。形成样品热损伤的因素有很多,依据Castang升温公式,加速电压、束流、束斑尺寸以及样品的热导率是导致样品温度上升的主要因素,也是形成样品热损伤的主要因素。对于样品来说,热导率是内因,其他都是外部因素。而要解决样品热损伤问题,着眼点就是对这几个因素进行调整。对内因的解决方案主要是样品的固定、老化以及金属化(蒸镀金属)。而对外因的解决方案就是降低加速电压和束流,增加电子束束斑尺寸。在实际测试过程中往往发现对上所述的外部因素进行大范围调整会带来样品信息的缺损。而借助于工作距离和拍摄图像时对电子束扫描速度的选择,将有助于扩大加速电压、束流的调整范围。大工作距离测试不仅能带来样品热损伤的减轻,还能获得许多小工作距离无法获取的样品信息,这在过去的经验谈中有充分的探讨。自然辩证法的三大规律告诉我们,任何条件的改变都会带来一定程度的负面因素。要避免负面因素成为主导,任何因素的改变都不能走向极端。多种因素配合使用,互相弥补各自所存在的缺陷,才能获得较为完美的结果。对样品热损伤的处理也是一样,要把以上对减轻样品热损伤的所有方法结合起来使用,才会获得最佳的效果。 参考书籍:《扫描电镜与能谱仪分析技术》 张大同 2009年2月1日 华南理工出版社《微分析物理及其应用》 丁泽军等 2009年1月 中科大出版社《自然辩证法》 恩格斯 于光远等译 1984年10月 人民出版社 《显微传》 章效峰 2015年10月 清华大学出版社
  • 电子束加热控制器研制
    成果名称电子束加热控制器单位名称中科院物理研究所联系人郇庆联系邮箱qhuan_uci@yahoo.com成果成熟度□正在研发 □已有样机 □通过小试 □通过中试 √ 可以量产合作方式√ 技术转让 √ 技术入股 □合作开发 √ 其他成果简介: 电子束加热是实验中经常用到的样品加热、蒸发和处理方式,加热中需要给灯丝提供电流、提供所需的高压电源甚至还需要束流检测和反馈控制。该电子束加热控制器集成了电子束加热所需的全部功能,可以在手动、恒压、恒发射电流、恒加热功率以及束流反馈等多种模式下工作。采用ARM为核心的主控系统和5.6寸触摸液晶屏,操作简便、界面友好。具备以太网口、USB口等多种数字接口,可实现数据存储输出、固件的远程更新和远程故障诊断。目前该设备已在国内外多家单位进行了尝试性推广,包括中科院物理所、清华大学、北京大学、复旦大学、中国科技大学、武汉物数所、美国伊利诺伊大学芝加哥分校等,反响很好。其主要技术指标为: 最大输出功率: 250W 输出电压范围: 0~2KV 输出电流范围: 0~125mA 灯丝电流: 0~3A 工作模式: 手动/自动(恒压、恒发射电流、恒加热功率、恒束流) 束流检测范围: 100pA~1mA 最小分辨率为1pA 应用前景: 主要用于电子束加热样品台、电子束加热蒸发源等装置,也可单独作为手动高压电源使用。应用范围广,估计每年国内市场需求在百套以上。知识产权及项目获奖情况: 发明专利:201410527768.4 201510220859.8
  • “中国好电镜”系列研讨会丨电子束敏感多孔材料的透射电子显微镜表征
    在材料显微结构表征方面,电子显微镜(包括SEM、FIB、TEM)有着无可比拟的优势,在科学研究,工业领域等作用日益增长。为了有效推动电子显微镜表征技术的发展,深入了解不同电子显微镜的性能特点,充分发挥仪器功效,提高广大用户的分析测试水平及解决实际使用中的难题,赛默飞将在2023年举办“中国好电镜”系列研讨会,特别邀请国内著名的专家学者和赛默飞资深电镜应用科学家与大家交流前沿电镜表征技术。 扫描/透射电子显微镜(S/TEM)可以对材料的结构进行直接成像,能在原子尺度上建立材料的性质与其局域结构之间的相关性。虽然高分辨率 TEM 和 STEM是大多数材料结构的常规表征手段,但由于电子束敏感材料(如典型的多孔材料分子筛、金属有机骨架(MOFs)、共价有机骨架(COFs)等)极端的不稳定性,以常规方式观察它们的局域结构仍然是一个极大的挑战。电子束敏感材料对电子束辐照极为敏感,在常规S/TEM成像模式下,其结构会被立即破坏变为非晶,从而无法得到其局域结构的原子排列信息。因此,如何在无损伤的条件下以高分辨率和高信噪比在实空间中对典型的电子束敏感材料的结构直接成像是TEM和STEM技术应用的难点。 本次研讨会特别邀请清华大学陈晓老师为大家从原子尺度解析多孔材料分子筛局域结构及主客体相互作用,分享其使用超低电子剂量高分辨电子显微技术在电子束敏感多孔材料结构表征中的成功案例。同时邀请赛默飞透射电镜应用科学家刘苏亚博士为大家直播演示如何在球差校正透射电子显微镜Spectra 300平台上对电子束敏感多孔材料进行超低电子剂量下原子尺度直接成像。 特 邀 报告 陈晓 清华大学化工系助理研究员 多孔材料局域结构及主客体相互作用原子尺度结构研究2023.04.20----14:30-15:30个人简介其研究方向主要是发展多孔材料低剂量原子尺度成像方法,致力于分子筛中单分子成像以及主客体相互作用的直接观测,以期从分子层面甚至是原子层面理解和探索这些化学反应过程中的分子进出机制以及客体分子与主体骨架间的作用行为。目前已发表文章50余篇,其中(共同)第一作者/通讯作者12篇,包括 Nature(3篇)、Science(1篇)、Nat. Commun.(4篇)、Adv. Mater.(1篇)、JACS(1篇)等。其中“A single molecule van der waals compass”(Nature. 592, 541(2021))的工作入选 2021 年度“中国高等学校十大科技进展”,获得第三届中国分子筛新秀奖、2022 年度清华大学优秀博士后,入选2022年度中国区“35岁以下科技创新35人”榜单。报告摘要多孔材料由于其特殊的孔道结构成为了催化、分离、医药等多个领域不可替代的原材料,分子筛作为典型的多孔材料在石油化工、煤化工裂解、异构化、芳构化及烷基化等反应中同样发挥着不可替代的作用。因此从分子层面甚至是原子层面理解和探索这些化学反应过程中的分子进出机制以及客体分子与主体骨架间的作用行为对于理解和认识这些工业化背后的微观行为尤为关键,尤其是工况服役状态下的催化剂的本征行为至关重要。该报告将以分子筛催化剂为研究对象,尤其是对工业化中应用最为广泛的ZSM-5进行了系统的研究。首先研究了在超低电子剂量的条件下研究分子筛亚纳米尺度局域结构解析和原位观察限域分子动态行为的方法,在常温甚至是高温的条件下“冷冻”分子,观测了单分子进出孔道的行为,研究限域小分子动态行为和主客体相互作用以及这类折形分子筛中单个芳烃分子的转动行为、加入氢键力作用后定量化了分子在孔道中的作用方式,在原位观测分子进出孔道的基础上解决了60年来困扰科研人员分子筛筛分比孔道稍大点的分子的微观机制。在不断对分子筛有深入理解的过程中希望能够为十万亿产值的工业化过程提供新的见解。扫描上方二维码报名线上网络研讨会Demo演示 刘苏亚 博士超低电子剂量下对电子束敏感多孔材料进行原子尺度直接成像2023.04.21----14:30-15:302019年毕业于浙江大学材料科学与工程专业,主攻非晶合金的结构表征及相关应用。同年入职赛默飞世尔科技,主要从事透射电镜的应用支持工作,拥有十余年的电镜使用经验。扫描上方二维码报名线上Demo演示
  • 电子束加热蒸发源研制
    成果名称电子束加热蒸发源单位名称中科院物理研究所联系人郇庆联系邮箱qhuan_uci@yahoo.com成果成熟度□正在研发 □已有样机 □通过小试 □通过中试 √ 可以量产合作方式□技术转让 □技术入股 □合作开发 √ 其他成果简介: 电子束加热蒸发源是采用电子束加热的方式对材料进行热蒸发,电子束加热方式具有污染小、加热集中、效率高的特点,适用于熔点高的材料的蒸发沉积。我们的电子束加热蒸发源采用超高真空兼容设计(CF35法兰),具有水冷、水冷温度检测、手动挡板、线性进样、高压接口、束流检测等功能。该蒸发源可以对棒状导电材料直接进行加热蒸发,也可采用多种材料的坩埚,对粉末、半导体以及绝缘体材料进行热蒸发。全部设计为自主开发完成,具有加热效率高、极限温度高的特点,可以完成熔点最高的金属钨的蒸发。该技术目前已在国内外多家高校和科研机构尝试性推广(中科院物理所、清华大学、北京大学、复旦大学、中国科技大学、华中科技大学、中科院武汉物数所、IBM实验室、匹兹堡大学等),收到一致好评。其主要技术指标为: 安装法兰: CF35 超高真空兼容性: 是 可烘烤至 200℃ 腔内直径: 34mm 腔内长度: 170mm~400mm可定制 源数量: 1 冷却方式: 水冷 束流检测范围: 0.1nA~10uA 灯丝电流: 0-2.5A 高压: 0-2500V 最高功率: 250W 蒸发温度: 高于 3000℃ 蒸发方式和尺寸: 源棒材 尺寸 (直径 1~4mm. 长度 20~100mm ) 金属坩埚 (钨、钼、钽可选;0.1cc、0.15cc、0.25cc、0.35cc、0.45cc).应用前景: 主要用于分子束外延系统以及其他超高真空设备中的高温金属材料、半导体材料等的热蒸发沉积。应用范围广,每年国内市场需求在百套以上。知识产权及项目获奖情况: 发明专利:201310052836.1
  • 提升芯片制造SEM测量水平,NIST联合KLA开发电子束倾斜测量技术
    美国国家标准与技术研究院 (NIST) 和半导体及相关行业检测和测量系统提供商 KLA Corporation 的研究人员提高了扫描电子显微镜 (SEM) 测量的准确性。SEM用于半导体制造中的过程控制应用,有助于确保高产量生产功能性高性能芯片。SEM使用聚焦电子束对小至1纳米的特征进行成像,使其成为表征半导体器件结构的重要仪器。在芯片制造过程中,高分辨率 SEM 用于许多检测和计量应用,包括检测非常小的缺陷、识别和分类光学检测员发现的缺陷、图案特征的关键尺寸测量、覆盖测量等。这些信息有助于芯片工程师表征和微调其制造工艺。当电子束通过SEM时,它会受到仔细控制。电子束与理想路径的轻微偏差或电子束撞击芯片表面的角度的微小错位都会使生成的 SEM 图像失真并歪曲器件的结构。NIST和KLA通过考虑电子束的这些角度错位,提高了SEM的精度。该联合研究项目测量光束倾斜的精度小于一毫弧度,即百分之五度,这需要在角分辨率和测量验证方面取得进步。为了测量光束倾斜,NIST和KLA创建了电子显微镜的原型标准,并以一种新的方式分析了所得的电子显微照片。原型标准由一系列锥形硅柱组成,称为锥形视锥体,形成对光束倾斜高度敏感的图像。倾斜表现为视锥体顶部边缘和底部边缘图像中心之间的偏移。利用他们在模拟电子-物质相互作用方面的专业知识,研究人员使用模拟来展示亚毫弧度精度的潜力,指导他们正在进行的标准工件的设计和制造。已知位置的锥形视锥体阵列有可能测量 SEM 扫描和成像的区域内光束倾斜的任何变化。这些测量可以进一步校准电子显微镜的放大倍率和畸变。此外,新标准还适用于芯片制造中使用的其他显微镜方法,包括原子力和超分辨率光学显微镜。比较不同显微镜方法结果的能力有助于在不同方法之间可靠且可重复地传输信息,并提高测量模型的准确性。锥形视锥体阵列的模型“电子束倾斜会改变器件特征的表观位置,降低SEM测量的准确性,”NIST研究员兼涵盖这项研究的行业论文的第一作者Andrew C. Madison说。“我们的新标准和分析方法可以检测电子束位移,因为它在整个成像场中变化。“有了这些数据,SEM制造商可以实施校准和校正,以提高图像质量和测量精度,”NIST研究员兼首席研究员Samuel M. Stavis说。“作为半导体检测和计量领域的专家,我们不断探索可以扩展当前测量极限的新技术,”KLA公司高级副总裁兼总经理Yalin Xiong说。“与研究机构的合作在发现有助于推进芯片行业过程控制的创新方面发挥着重要作用。我们与NIST的联合研究旨在提高用于表征芯片制造工艺的基本测量的准确性。
  • 扫描电子显微镜的基本原理(一)
    自1965年第一台商品扫描电镜问世以来,经过50多年的不断改进,扫描电镜的分辨率已经大大提高,而且大多数扫描电镜都能与X射线能谱仪等附件或探测器组合,成为一种多功能的电子显微仪器。在材料领域中,扫描电镜发挥着极其重要的作用,可广泛应用于各种材料的形态结构、界面状况、损伤机制及材料性能预测等方面的研究,如图1所示的纳克微束FE-1050系列场发射扫描电镜。图1 纳克微束FE-1050系列场发射扫描电镜场发射扫描电镜组成结构可分为镜体和电源电路系统两部分,镜体部分由电子光学系统、信号收集和显示系统以及真空系统组成,电源电路系统为单一结构组成。1.1 电子光学系统由电子枪、电磁透镜、扫描线圈和样品室等部件组成。其作用是用来获得扫描电子束,作为信号的激发源。为了获得较高的信号强度和图像分辨率,扫描电子束应具有较高的亮度和尽可能小的束斑直径。1.2 信号收集检测样品在入射电子作用下产生的物理信号,然后经视频放大作为显像系统的调制信号。1.3 真空系统真空系统的作用是为保证电子光学系统正常工作,防止样品污染,一般情况下要求保持10-4~10-5Torr的真空度。1.4 电源电路系统电源系统由稳压,稳流及相应的安全保护电路所组成,其作用是提供扫描电镜各部分所需的电源。图3为扫描电镜工作原理示意图,具体如下:由电子枪发出的电子束在加速电压(通常200V~30kV)的作用下,经过两三个电磁透镜组成的电子光学系统,电子束被聚成纳米尺度的束斑聚焦到试样表面。与显示器扫描同步的电子光学镜筒中的扫描线圈控制电子束,在试样表面的微小区域内进行逐点逐行扫描。由于高能电子束与试样相互作用,从试样中发射出各种信号(如二次电子、背散射电子、X射线、俄歇电子、阴极荧光、吸收电子等)。图3 扫描电镜的工作原理示意图这些信号被相应的探测器接收,经过放大器、调制解调器处理后,在显示器相应位置显示不同的亮度,形成符合人类观察习惯的二维形貌图像或者其他可以理解的反差机制图像。由于图像显示器的像素尺寸远大于电子束斑尺寸,且显示器的像素尺寸小于等于人类肉眼通常的分辨率,显示器上的图像相当于把试样上相应的微小区域进行了放大,而显示图像有效放大倍数的限度取决于扫描电镜分辨率的水平。早期输出模拟图像主要采用高分辨照相管,用单反相机直接逐点记录在胶片上,然后冲洗相片。随着电子技术和计算机技术的发展,如今扫描电镜的成像实现了数字化图像,模拟图像电镜已经被数字电镜取代。扫描电镜是科技领域应用最多的微观组织和表面形貌观察设备,了解扫描电镜的工作原理及其应用方法,有助于在科学研究中利用好扫描电镜这个工具,对样品进行全面细致的研究。转载文章均出于非盈利性的教育和科研目的,如稿件涉及版权等问题,请立即联系我们,我们会予以更改或删除相关文章,保证您的权益。
  • 重磅!电子束灭活冷链食品外包装新冠病毒项目成果通过评审,示范应用装置完成研制
    3月29日,中国广核集团会同清华大学核能与新能源技术研究院和医学院、中国科学院近代物理研究所、深圳国家感染性疾病临床医学研究中心、深圳市第三人民医院,在深圳联合召开项目成果发布会,宣布电子束灭活冷链食品外包装新冠病毒项目成果通过由中国科学院院士詹文龙等7位专家组成的专家组评审,并完成我国首台套用于冷链食品外包装消毒的示范应用装置研制。项目成果专家评审会现场(图片来源:中国广核集团微信公众号)此次评审会专家组由中国科学院院士詹文龙、赵红卫,中国疾病预防控制中心消毒学首席专家张流波、广东省疾病预防控制中心副主任林立丰、生物医学专家马岚、中国农业科学院教授哈益明、清华大学教授马辉等7名专家组成。评审会现场,经过充分质询、现场答辩、研究讨论,专家组认为:本项目对进口冷链食品预防性全面消毒要求做出快速响应,率先在P3实验室开展了电子束灭活新冠病毒的实验,验证了电子束灭活新冠病毒的有效性和穿透深度可控等优势。该项目充分考虑了冷链环境因素对电子束灭活病毒的影响,为开发冷链食品外包装预防性消毒新技术提供了科学依据,标志着我国低能量电子束灭活新冠病毒研究和应用技术走在国际前列。本项目为解决冷链食品外包装新冠病毒污染问题提出的电子束消毒技术方案,在低能电子帘加速器应用、自屏蔽系统及束下传输系统设计等多处进行技术创新应用。设备总体实现不影响食品和保护作业人员安全,具有较优的冷链食品处理效率和灭活病毒效果。评审组建议尽快安装到应用现场进行示范,进一步形成产品加快使用,并把电子束消杀技术应用到其他货品新冠病毒灭活。深圳市第三人民医院P3实验室实验监控画面(图片来源:中国广核集团微信公众号)国家原子能机构副主任张建华,国家卫生健康委员会疾病预防控制局一级巡视员贺青华,中国广核集团有限公司党委书记、董事长、总经理杨长利,深圳市卫生健康委党组成员、一级巡视员罗乐宣,清华大学核能与新能源技术研究院党委书记唐亚平出席当天的评审会并讲话。国家原子能机构高洪滨、清华大学孟宪飞、中国核能行业协会龙茂雄、中国同位素与辐射行业协会郭丽莉、深圳市疾病预防控制中心夏俊杰、深圳市市场监督管理局单友亮、深圳市机场(集团)有限公司王穗初、中国广核集团有限公司庞松涛等出席评审会。2020年6月以来,我国发生多起进口冷链食品外包装或环境中检测出新冠病毒核酸呈阳性案例,对国内“外防输入、内防反弹”疫情防控工作带来严峻挑战。为贯彻落实习近平总书记科技抗疫的重要指示精神,应对境外新冠肺炎疫情对我国安全防控形势带来的风险,强化“外防输入、内防反弹”“人物同防”的疫情防控体系,探索重大疫病防控的新技术手段,在国家原子能机构的指导和支持下,2020年10月30日,中广核核技术发展股份有限公司联合清华大学核能与新能源技术研究院及医学院、中国科学院近代物理研究所、深圳国家感染性疾病临床医学研究中心、深圳市第三人民医院等单位,启动电子束灭活新冠病毒项目科研攻关。2020年12月,项目研究团队开展了重组新冠病毒的灭活实验,取得了初步的实验结果。2021年1月10日,项目研究团队在深圳市第三人民医院P3实验室,正式开展了首批电子束杀灭活体新冠病毒实验。截至2021年3月10日,经过11批次、190个样本的验证实验,研究团队全面掌握了电子束灭活新冠病毒的方法,以及不同病毒滴度、载体介质、吸收剂量等影响下的灭活效果数据。实验结果表明,5~10KGy的电子束吸收剂量可完全灭杀滤纸表面的活体新冠病毒,经过三代盲传不显阳性,充分验证了电子束对新冠病毒的灭活效果。首台冷链食品外包装电子束消毒设备样机启动现场模拟实验(图片来源:中国广核集团微信公众号)项目研究团队根据已掌握的实验成果,结合深圳市进口冷链食品预防性全面消毒工作的实际情况,研发出首台套用于灭活冷链食品外包装新冠病毒的自屏蔽电子帘加速器设备。设备样机已于3月14日完成工厂内的安装调试,并完成现场模拟实验,成套示范装置3月底具备安装调试条件。深圳市作为中国特色社会主义先行示范区,在国内首创了进口冻品集中监管仓模式, 对国家新冠疫情外防输入作出了突出贡献。在当日结束的评审会后,深圳市有关主管部门还与中广核技现场签署合作意向书,支持并共同探索推进电子束灭活新冠病毒技术首台套装备在冷链外包装消毒上先行先试。电子束灭活冷链食品外包装新冠病毒项目成果发布会现场(图片来源:中国广核集团微信公众号)清华大学核能与新能源技术研究院副院长王建龙介绍了电子束灭活新冠病毒的原理。该项目通过电子加速器产生的电子束直接作用,以及电子束激发水分子产生羟基自由基(• OH)、还原性水合电子(eaq-)等活性粒子的氧化-还原的间接作用,对包括新冠病毒在内的微生物体内的DNA或RNA分子、蛋白质包膜等产生破坏,进而达到消毒灭菌的作用效果。2020年11月,中广核与清华大学核能与新能源技术研究院合作建成投运的国内首个电子束处理医疗废水项目——湖北十堰西苑医院项目,已经证明电子束对废水里的致病微生物、病毒有良好的灭活效果,并能够完全实现抗生素降解。同时,对包括新冠病毒在内的微生物,电子束具有灭活速度快、效率高、效果好,灭活效果不受环境温度的影响,且无污染、无残留,不影响食品安全,可有效解决传统处理方法的技术缺陷,提高处理效果。中国科学院近代物理研究所电子加速器研究中心主任、中广核加速器研究院总工程师张子民表示,研发的灭活装置采用低能量的自屏蔽电子帘加速器,电子束能量低,对纸制品的穿透能力仅0.3毫米左右,主要针对冷链食品外包装消毒,不会穿透冷链食品外包装,不对包装箱内的食品产生影响,也不会对设备运行现场环境产生影响。同时,设备占地面积不超过40平方米,能够根据现有冷链食品外包装消毒的实际,直接将设备部署到海关、口岸、集中监管仓等地,与冷链运输和仓储实现无缝对接,为冷链外包装消毒提供更加绿色、环保、高效的解决方案,可成为国内疫情防控“外防输入”的“利器”。深圳国家感染性疾病临床医学研究中心主任、深圳市第三人民医院党委书记、院长刘磊认为,我国已经在新冠病毒疫苗(预防)和抗体(治疗)研究上取得了突出成就,本项目技术成果及其应用,将在我国落实“人、物同防”,切断新冠病毒传播途径方面提供更优的解决方案,意义十分重大!针对该项目成果,杨长利表示,新冠肺炎疫情发生以来,中国广核集团积极贯彻落实习近平总书记重要批示指示精神和党中央国务院决策部署,保障电力稳定供应,发挥科技力量助力疫情防控。利用电子束技术,在防疫物资消毒灭菌、补齐医疗污水和固体废物处理短板等方面发挥了重要作用。电子束灭活新冠病毒项目的成功,将为国家切断冷链外包装疫情传播途径,作出新的贡献。中国广核集团将始终贯彻落实以人民为中心的发展思想,秉承“创新、协调、绿色、开放、共享”的新发展理念,坚定不移走自主创新和高质量发展道路,与各参研单位积极推动电子束灭活冷链食品包装项目新冠病毒装置的示范应用和推广,进一步拓展技术应用范围,实现电子束技术对冷链食品及包装消毒应用场景全覆盖,以核技术力量助力疫情防控,让人类生活更美好!参加评审会的还有国家原子能机构、国家卫生健康委员会、中国科学院、中国疾病预防控制中心、中国农业科学院、清华大学、中科院近代物理研究所、中国核能行业协会、中国同位素与辐射行业协会、广东省疾病预防控制中心、深圳市卫生健康委员会、深圳市市场监督管理局、深圳市疾病预防控制中心、深圳市第三人民医院、深圳市机场(集团)有限公司、深圳海关进口冷链食品风险监测及预防性消毒工作专班、中广核等单位相关人员。
  • 电镜学堂丨扫描电子显微镜的基本原理(三) - 荷电效应
    这里是TESCAN电镜学堂第三期,将继续为大家连载《扫描电子显微镜及微区分析技术》(本书简介请至文末查看),帮助广大电镜工作者深入了解电镜相关技术的原理、结构以及最新发展状况,将电镜在材料研究中发挥出更加优秀的性能!第四节 各种信号与衬度的总结前面两节详细的介绍了扫描电镜中涉及到的各种电子信号、电流信号、电磁波辐射信号和各种衬度的关系,下面对常见的电子信号和衬度做一个总结,如图2-36和表2-4。图2-36 SEM中常见的电子信号和衬度关系表2-4 SEM中常见的电子信号和衬度关系第五节 荷电效应扫描电镜中还有一种不希望发生的现象,如荷电效应,它也能形成某些特殊的衬度。不过在进行扫描电镜的观察过程中,我们需要尽可能的避免。§1. 荷电的形成根据前面介绍的扫描电镜原理,电子束源源不断的轰击到试样上,根据图2-6,只有原始电子束能量在v1和v2时,二次电子产额δ才为1,即入射电子和二次电子数量相等,试样没有增加也没减少电子,没有吸收电流的形成。而只要初始电子束不满足这个条件,都要形成吸收电流以满足电荷的平衡, i0= ib+is+ia。要实现电荷平衡,就需要试样具备良好的导电性。对于导体而言,观察没有什么问题。但是对于不导电或者导电不良、接地不佳的试样来说,多余的电荷不能导走,在试样表面会形成积累,产生一个静电场干扰入射电子束和二次电子的发射,这就是荷电效应。荷电效应会对图像产生一系列的影响,比如:① 异常反差:二次电子发射受到不规则影响,造成图像一部分异常亮,一部分变暗;② 图像畸变:由于荷电产生的静电场作用,使得入射电子束被不规则偏转,结果造成图像畸变或者出现阶段差;③ 图像漂移:由于静电场的作用使得入射电子束往某个方向偏转而形成图像漂移;④ 亮点与亮线:带点试样经常会发生不规则放电,结果图像中出现不规则的亮点与亮线;⑤ 图像“很平”没有立体感:通常是扫描速度较慢,每个像素点驻留时间较长,而引起电荷积累,图像看起来很平,完全丧失立体感。如图2-37都是典型的荷电效应。图2-37 典型的荷电效应§2. 荷电的消除荷电的产生对扫描电镜的观察有很大的影响,所以只有消除或降低荷电效应,才能进行正常的扫描电镜观察。消除和降低荷电的方法有很多种,这里介绍一下常用的方法。首先,在制样环节就要注意以便减小荷电:1) 缩小样品尺寸、以及尽可能减少接触电阻:这样可以增加试样的导电性。2)镀膜处理:给试样镀一层导电薄膜,以改善其导电性,这也是使用的最多的方法。常用的镀膜有蒸镀和离子溅射两种,常用的导电膜一般是金au和碳,如果追求更好的效果,还可使用铂pt、铬cr、铱ir等。镀导电膜不但可以有效的改善导电性,还能提高二次电子激发率,而且现在的膜厚比较容易控制,一定放大倍数内不会对试样形貌产生影响。不过镀膜也有其缺点,镀膜之后会有膜层覆盖,影响样品的真实形貌的,严重的话还会产生假象,对一些超高分辨的观察或者一些细节(如孔隙、纤维)的测量以及eds、ebsd分析产生较大影响。如图2-38,石墨在镀pt膜后,产生假象;如图2-39,纤维在镀金之后,导致显微变粗,孔隙变小。图2-38 石墨镀金膜之后的假象图2-39 纤维在镀金前(左)后(右)的图像除了制样外,还要尽可能寻找合适的电镜工作条件,以消除或减弱荷电的影响:3) 减小束流:降低入射电子束的强度,可以减小电荷的积累。4) 减小放大倍数:尽可能使用低倍观察,因为倍数越大,扫描范围越小,电荷积累越迅速。5) 加快扫描速度:电子束在同一区域停留时间较长,容易引起电荷积累;此时可以加快电子束的扫描速度,在不同区域停留的时间变短,以减少荷电。6) 改变图像采集策略:扫描速度变快后,图像信噪比会大幅度降低,此时利用线积累或者帧叠加平均可以减小荷电效应同时提升信噪比。线积累对轻微的荷电有较好的抑制效果;帧叠加对快速扫描产生的高噪点有很好的抑制作用,但是图像不能有漂移,否则会有重影引起图像模糊。如图2-40,样品为高分子球,在扫描速度较慢时,试样很容易损伤而变形,而快速扫描同时进行线积累的采集方式,试样完好且图像依然有很好的信噪比。图2-40 高分子球试样在不同扫描方式下的对比7)降低电压:减少入射电子束的能量(降至v2以内)也能有效的减少荷电效应。如图2-41,试样是聚苯乙烯球,加速电压在5kV下有明显的荷电现象,降到2kV下荷电基本消除。不过随着加速电压的降低,也会带来分辨率降低的副作用。图2-41 降低加速电压消除荷电影响8)用非镜筒内二次电子探测器或者背散射电子探测器观察:在有大量荷电产生的时候,会有大量的二次电子被推向上方,倒是镜筒内二次电子接收的电子信号量过多,产生荷电,尤其在浸没式下,此时使用极靴外的探测器,其接收的电子信号量相对较少,可以减弱荷电效应,如图2-42;另外,背散射电子能量高,其产额以及出射方向受荷电的影响相对二次电子要小很多,所以用bse像进行观察也可以有效的减弱荷电效应,如图2-43,氧化铝模板在二次电子和背散射图像下的对比。图2-42 镜筒内(左)和镜筒外(右)探测器对荷电的影响图2-43 SE(左)和BSE(右)图像对荷电的影响9) 倾转样品:将样品进行一定角度的倾转,这样可以增加试样二次电子的产额,从而减弱荷电效应。 除此之外,电镜厂商也在发展新的技术来降低或消除荷电,最常见的就是低真空技术。低真空技术是消除试样荷电的非常有效的手段,但是需要电镜自身配备这种技术。10)低真空模式:低真空模式下可以利用电离的离子或者气体分子中和产生的荷电,从而在不镀膜或者不用苛刻的电镜条件即可消除荷电效应。不过低真空条件下,原始电子束会被气体分子散射,所以分辨率、信噪比、衬度都会有一定的降低。如图2-44,生物样品在不镀导电膜的情况下即可实现二次电子和背散射电子的无荷电效应的观察。图2-44 低真空BSE(左)和SE(右)的效果对比福利时间每期文章末尾小编都会留1个题目,大家可以在留言区回答问题,小编会在答对的朋友中选出点赞数最高的两位送出本书的印刷版。奖品公布上期获奖的这位童鞋,请您关注“TESCAN公司”微信公众号,后台私信小编邮寄地址,我们会在收到您的信息并核实后即刻寄出奖品。【本期问题】低真空模式下,空气浓度高低对消除荷电能力的强弱有什么影响?(快关注微信去留言区回答问题吧~)简介《扫描电子显微镜及微区分析技术》是由业内资深的技术专家李威老师(原上海交通大学扫描电镜专家,现任TESCAN技术专家)、焦汇胜博士(英国伯明翰大学材料科学博士,现任TESCAN技术专家)、李香庭教授(电子探针领域专家,兼任全国微束分析标委会委员、上海电镜学会理事)编著,并于2015年由东北师范大学出版社出版发行。本书编者都是非常资深的电镜工作者,在科研领域工作多年,李香庭教授在电子探针领域有几十年的工作经验,对扫描电子显微镜、能谱和波谱分析都有很深的造诣,本教材从实战的角度出发编写,希望能够帮助到广大电镜工作者,特别是广泛的TESCAN客户。↓ 往期课程,请关注微信查阅以下文章:电镜学堂丨扫描电子显微镜的基本原理(一) - 电子与试样的相互作用电镜学堂丨扫描电子显微镜的基本原理(二) - 像衬度形成原理
  • 东方晶源深耕电子束量测检测核心技术 “三箭齐发”新一代EOS上“机”
    电子束量测检测设备是芯片制造装备中除光刻机之外技术难度最高的设备类别之一,深度参与光刻环节、对制程节点敏感并且对最终产线良率起到至关重要的作用。其最为核心的模块为电子光学系统(Electron Optical System,简称EOS),决定设备的成像精度和质量, 进而决定设备的性能。作为电子束量测检测领域的先行者、领跑者,东方晶源始终坚持自主研发,不断深化研发投入、加速技术创新步伐,致力于为客户带来更加卓越的产品。日前,东方晶源自主研发的新一代EOS“三箭齐发”,取得突破性成果,成功搭载到旗下电子束缺陷复检设备(DR-SEM)、关键尺寸量测设备(CD-SEM)、电子束缺陷检测设备(EBI),率先实现国产EOS在高端量测检测领域的应用,助力产品性能实现进一步攀升的同时,为国产电子束量测检测技术的发展进一步夯实了基础。新一代DR-SEM EOS:多场景 高精度DR-SEM是一款基于超高分辨率电子束成像技术对缺陷进行复检分析的设备,包括形貌分析、成分分析等,因此其搭载的EOS需要高分辨、高速的自动化复检能力,并提供多样化的信号表征手段。东方晶源新一代DR-SEM EOS采用适配自研多通道高速探测器、支持多信号类型分析检测的电子光学设计方案,兼容EDX成分分析功能,能够覆盖广泛的缺陷复检应用场景。此外,新一代DR-SEM EOS搭配高精度定位技术,检测精度和速度可以匹配业界主流水准。新一代CD-SEM EOS:高精度 高速度CD-SEM作为产线量测的基准设备,对EOS的核心技术需求在于高分辨、高产能(Throughput)和高稳定性。东方晶源新一代CD-SEM EOS为实现高成像分辨率和高量测精度,采用球色差优化的物镜、像差补偿技术、自动校正技术等新方案,目前已达到业界一流水平。同时,自研探测器针对频响和信噪比优化,支持快速图像采集,结合高速AFC技术,可以在不损失精度的情况下大幅提升量测产能。新的技术方案确保了更稳定、一致的产品表现。通过上述各方面的技术突破,新一代CD-SEM EOS在性能和稳定性上取得大幅提升。新一代EBI EOS:多场景 高速度针对国内领先的逻辑与存储客户产线检测需求,EBI EOS要在保证检测精度的前提下,重点提升检测速度。东方晶源最新研发的EBI EOS通过四大技术手段在检测精度和速度上进行了显著的优化与提升。(1)超大束流的电子束预扫描技术和大范围电子产率调节技术,满足不同产品多样化检测需求;(2)兼容步进式和连续式扫描模式,提供具有竞争力的产能指标;(3)采用业界领先的高速大束流检测方案,采样速率达到行业先进水平;(4)浸没式电子枪,支持更大的束流调节范围。随着半导体工艺水平的飞速发展,电子束在线量测检测越来越重要,设备的产能必须有质的飞跃才能满足这一需求。更高的成像速率或多电子束并行检测技术就是业界竞相攻克的焦点。东方晶源在高速成像和多电子束技术均已取得重要突破,实现了相关技术的原理验证。未来,东方晶源将不断进行技术深耕,将关键核心技术牢牢掌握在自己手中,以更加卓越的技术和产品引领电子束量测检测领域的发展,解决客户痛点问题,为我国集成电路产业的发展和进步贡献更多力量。
  • 三大产品线全力升级 东方晶源引领国内电子束量测检测发展
    电子束量检测是半导体量检测领域的主要技术类型之一,在半导体制程不断微缩,光学检测对先进工艺图像识别的灵敏度逐渐减弱的情况下,发挥着越来越重要的作用。电子束量检测设备对于检测的精度、可适用性、稳定性、吞吐量等要求很高,其研发和设计非常具有技术挑战性。作为布局该领域最早的国内企业之一,东方晶源已先后成功推出电子束缺陷检测设备EBI,关键尺寸量测设备CD-SEM(12英寸和6&8英寸),电子束缺陷复检设备DR-SEM,占据电子束量测检测三大主要细分领域,产品多样化和产品成熟度走在前列。同时,经过持续的迭代研发,三大产品线全力升级、性能指标进一步提升,引领国内电子束量测检测产业高速发展。EBI:历时三代焕新,检测速度提升3-5倍EBI(电子束缺陷检测设备)是集成电路制造中不可或缺的良率监控设备。其基本原理是结合扫描电镜成像技术、高精度运动控制技术、高速图像数据处理和自动检测分类算法等,在集成电路制造关键环节对晶圆及集成电路的物理缺陷和电性缺陷进行检测,避免缺陷累积到后续工艺中。东方晶源早在2019年就成功研发并推出的SEpA-i505是国内首台电子束缺陷检测设备,可提供完整的纳米级缺陷检测和分析解决方案,在2021年便进入28nm产线全自动量产。经过数年研发迭代,新一代机型SEpA-i525在检测能力和应用场景方面得到进一步拓展。在检测速率方面,新款EBI产品可兼容步进式和连续式扫描,连续扫描模式适用于存储Fab,结合自研探测器的性能优化,较上一代机型能带来3倍-5倍的速度提升;新开发的电子光学系统可支持negative mode检测方式和40nA以上的检测束流;同时引入多种wafer荷电控制方案,降低荷电效应对图像的影响。在应用场景方面,东方晶源的EBI设备也从逻辑Fab领域延伸至存储Fab,可以为客户解决更多的制程缺陷问题。此外,东方晶源EBI设备基于DNA缺陷检测引擎,采用图前台与运算后台低耦合,支持同步online/offline inspection。集成多种先进缺陷检测算法(D2D、C2C等),可以满足用户不同应用需求,有效提高Capture Rate,降低Nuisance Rate。采用的自动缺陷分类(ADC)引擎,其Model-Based ADC模块基于深度学习、自动特征选取、融合置信度的聚类算法,可以有效提升自动缺陷分类的Purity和Accuracy;Rule-Based ADC模块则保留了人工经验的灵活性,在小样本的场景下可以快速创建。CD-SEM:面向6/8/12英寸产线全面布局CD-SEM(关键尺寸量测设备)主要是通过对于关键尺寸的采样测量,实现对IC制造过程中,光刻工艺后所形成图形尺寸进行监控,以确保良率。东方晶源的CD-SEM分为12英寸和6&8英寸兼容两个产品系列,均已进入用户产线,可支持Line/Space、Hole/Elliptic、LER/LWR等多种量测场景,满足多种成像需求。12英寸CD-SEM新一代机型SEpA-c430经过2年的迭代,在量测性能和速度上实现全面提升,目前也在多个客户现场完成验证。该产品的量测重复精度达到0.25nm,满足28nm产线需求;通过提升电子束扫描和信号检测,产能提高30%;新推出的晶圆表面电荷补偿功能,可以提高光刻胶量测的能力。新机型还增加了自动校准功能,可确保较高的量测一致性,为产品的大规模量产做好了准备。除12英寸产品外,东方晶源6&8英寸CD-SEM产品相较国际大厂新设备的交期长、价格高具有更高的性价优势。面向第三代半导体市场推出的SEpA-c310s,不仅实现了6&8 英寸兼容,同时还可兼容不同材质的晶圆(例如GaN/SiC/GaAs),兼容不同厚度的晶圆(例如350um,1100um)。该产品已在多个头部客户实现了量产验证。值得一提的是,2022年底东方晶源ODAS LAMP产品已正式发布。ODAS LAMP全称为Offline Data Analysis System, Large Scale Automatic Measurement Purpose产品,中文名称为大规模CD量测离线数据处理系统。ODAS LAMP作为CD-SEM量测设备的配套工具,目的在于方便CD-SEM用户利用设计版图离线创建和修改CD-SEM recipe,并且提供对CD-SEM量测结果的review功能,也可以在CD-SEM图像上进行离线再量测,提升机台利用率。DR-SEM:瞄准新需求,开拓新领域DR-SEM(电子束缺陷复检设备)是东方晶源最新涉足的细分领域。根据SEMI数据,2024年12英寸产线DR-SEM需求量约为50台。未来3-4年,12英寸产线DR-SEM设备总需求量约为150台,具有广阔的市场空间。2023年东方晶源推出首款SEpA-r600,目前已经出机到几个头部客户进行产线验证。在设备开发过程中,得益于公司前期的技术积累,开发进程得以显著缩短。图像质量已达到客户需求,CR95%,接近成熟机台水平。在辅助光学系统复检OM的研发方案选择中,东方晶源独立开发出一套全新光学窗口成像系统。借助于这套系统,目前已完成对unpatterned wafer的光学复检功能的开发,实现了auto bare wafer review的功能,满足客户对70nm左右defect的复检需求。也就是说,东方晶源的DR-SEM设备不仅能够进行pattern wafer auto review ,也能够进行unpattern wafer review功能,并附带缺陷元素分析。另外,DR-SEM的高电压电子枪能够满足客户对浅层缺陷的分析,同时对较深的孔底部也能够有明显的信号。根据针对客户需求深度拆解,这款DR-SEM设备还引入了全彩OM,能实现色差调整,以满足不同film内部color defect的检测,为客户提供更多的表征手段。未来,东方晶源新一代DR-SEM设备将结合下一代自研EOS,搭配深紫外DUV辅助光学检测系统,预期可满足更先进制程全流程的defect复检需求。从2021年6月EBI设备通过产线验证进入全自动量产以来,东方晶源加快研发步伐,先后又成功推出12英寸CD-SEM、6&8英寸兼容CD-SEM、DR-SEM多款产品,并持续通过迭代升级提升设备性能和效率,解决了国产半导体发展中的关键难题,领跑国内相关领域发展。未来,东方晶源将围绕集成电路良率管理继续深耕,为产业带来更多的硬件和软件产品,推动行业发展和进步。
  • 上海光机所在提升电子束蒸发沉积激光薄膜的长期性能稳定研究中取得新进展
    近期,中国科学院上海光学精密机械研究所薄膜光学实验室在提升电子束蒸发沉积激光薄膜的长期性能稳定研究中取得新进展,实现了低应力、光谱和机械性能长期稳定的电子束激光薄膜制备。相关研究成果发表在《光学材料快报》(Optical Materials Express)。电子束蒸发沉积薄膜因其激光损伤阈值高,光谱均匀性好且易实现大口径制备而广泛应用于世界上各大型高功率激光系统中。然而,电子束蒸发沉积薄膜的多孔结构特性易与水分子相互作用,使得薄膜的各项性能极易受环境条件(尤其是湿度)的影响。即便是在可控的环境下,电子束蒸发沉积薄膜的性能也会随时间而变化。该项成果提出了等离子体辅助沉积的致密全口径包覆水汽阻隔技术,覆盖多孔电子束蒸发沉积薄膜的上表面和侧面,有效地将其与水汽隔离,制备出了低应力、光谱和机械性能长期稳定的电子束蒸发沉积薄膜。同时,该水汽阻隔技术显著提升了电子束蒸发沉积薄膜的耐划性能,且提供了一种离线获得无水吸附时薄膜应力的方法。该项成果为提升电子束沉积薄膜的光谱和面形稳定性提供了途径,有助于解决高功率激光应用中电子束沉积薄膜随时间和环境变化性能不稳定问题。相关工作得到了国家自然科学基金、中科院青促会基金、中科院先导专项(B类)等支持。(薄膜光学实验室供稿)原文链接图1 等离子体辅助沉积的致密全口径包覆水汽阻隔技术示意图图2 有、无全口径水汽阻隔膜的多层膜性能对比(a)峰值反射率处波长随时效时间变化(b)应力随时效时间变化
  • 澳大利亚研制纳米电子束曝光系统
    本报讯 据澳大利亚莫纳什大学网站报道,澳大利亚研究人员正在研制世界最强大的纳米设备之一——电子束曝光系统(EBL)。该系统可标记纳米级的物体,还可在比人发直径小1万倍的粒子上进行书写或者蚀刻。  电子束曝光技术可直接刻画精细的图案,是实验室制作微小纳米电子元件的最佳选择。这款耗资数百万美元的曝光系统将在澳大利亚亮相,并有能力以很高的速度和定位精度制出超高分辨率的纳米图形。该系统将被放置在即将完工的墨尔本纳米制造中心(MCN)内,并将于明年3月正式揭幕。  MCN的临时负责人阿彼得凯恩博士表示,该设备将帮助科学家和工程师发展下一代微技术,在面积小于10纳米的物体表面上实现文字和符号的书写和蚀刻。此外,这种强大的技术正越来越多地应用于钞票诈骗防伪、微流体设备制造和X射线光学元件的研制中,还可以支持澳大利亚同步加速器的工作。  凯恩说:“这对澳大利亚科学家研制最新的纳米仪器十分重要,其具有无限的潜力,目前已被用于油漆、汽车和门窗的净化处理,甚至对泳衣也能进行改进。而MCN与澳大利亚同步加速器相邻,也能吸引更多的国际研究团队的目光。”  MCN的目标是成为澳大利亚开放的、多范围的、多学科的微纳米制造中心。该中心将支持环境传感器、医疗诊断设备、微型纳米制动器的研制,以及新型能源和生物等领域的研究和模型绘制。除电子束曝光系统外,MCN中还包含了高分辨率双束型聚焦离子束显微镜、光学和纳米压印光刻仪、深反应离子蚀刻仪和共聚焦显微镜等众多设备。  凯恩认为:能够介入这种技术使我们的科学家十分兴奋,它可以确保我们在未来十年内在工程技术前沿领域的众多方面保持领先地位,也将成为科学家在纳米范围内取得更大成就的重要基点。(张巍巍)
  • 预算1000万,中科院金属所聚焦离子束电子束双束电子显微镜招标采购
    p style="text-align: justify text-indent: 2em "11月17日公告,中国科学院金属研究所聚焦离子束电子束双束电子显微镜采购项目公开招标,预算1000万元。招标项目的潜在投标人应在北京市西城区西直门外大街6号中仪大厦416获取招标文件,并于2020年12月17日09点30分前递交投标文件。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong一、项目基本情况/strong/span/pp style="text-align: justify text-indent: 2em "项目编号:20CNIC-031692-054/pp style="text-align: justify text-indent: 2em "项目名称:中国科学院金属研究所聚焦离子束电子束双束电子显微镜采购项目/pp style="text-align: justify text-indent: 2em "预算金额:1000万元(人民币)/pp style="text-align: justify text-indent: 2em "采购需求:/pp style="text-align: justify text-indent: 2em "span style="text-indent: 2em "名称:聚焦离子束电子束双束电子显微镜/span/pp style="text-align: justify text-indent: 2em "span style="text-indent: 2em "数量:1套/span/pp style="text-align: justify text-indent: 2em "简要技术需求:/pp style="text-align: justify text-indent: 2em "设备用于材料纳微米级尺度高精度加工、修改、成型和高分辨成像。结合电子束高分辨显微表征、能谱和EBSD等辅助分析,进行材料高分辨微观形貌、三维结构、晶体取向及元素含量分布信息测试。/pp style="text-align: justify text-indent: 2em "*大样品室,仓门内径 ≥370mm;/pp style="text-align: justify text-indent: 2em "*元素分析范围:Be4~Cf98;/pp style="text-align: justify text-indent: 2em "* 能量分辨率:Mn Ka保证优于127eV(计数率130,000cps)/pp style="text-align: justify text-indent: 2em "合同履行期限:合同签订后8个月/pp style="text-align: justify text-indent: 2em "本项目( 不接受 )联合体投标。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong二、获取招标文件/strong/span/pp style="text-align: justify text-indent: 2em "时间:2020年11月27日 至 2020年12月04日,每天上午9:30至11:30,下午13:30至16:30。(北京时间,法定节假日除外)/pp style="text-align: justify text-indent: 2em "地点:北京市西城区西直门外大街6号中仪大厦416/pp style="text-align: justify text-indent: 2em "方式:电话联系购买/pp style="text-align: justify text-indent: 2em "售价:¥800.0 元,本公告包含的招标文件售价总和/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong三、提交投标文件截止时间、开标时间和地点/strong/span/pp style="text-align: justify text-indent: 2em "提交投标文件截止时间:2020年12月17日 09点30分(北京时间)/pp style="text-align: justify text-indent: 2em "开标时间:2020年12月17日 09点30分(北京时间)/pp style="text-align: justify text-indent: 2em "地点:北京市西城区西直门外大街6号中仪大厦416会议室/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong四、公告期限/strong/span/pp style="text-align: justify text-indent: 2em "自本公告发布之日起5个工作日。/pp style="text-align: justify text-indent: 2em "span style="color: rgb(0, 112, 192) "strong五、对本次招标提出询问,请按以下方式联系。/strong/span/pp style="text-align: justify text-indent: 2em "1. 采购人信息/pp style="text-align: justify text-indent: 2em "名称:中国科学院金属研究所/pp style="text-align: justify text-indent: 2em "地址:辽宁省沈阳市沈河区文化路72号/pp style="text-align: justify text-indent: 2em "联系方式:佟老师 024-23971066/pp style="text-align: justify text-indent: 2em "2. 采购代理机构信息/pp style="text-align: justify text-indent: 2em "名称:中国仪器进出口集团有限公司/pp style="text-align: justify text-indent: 2em "地址:北京市西城区西直门外大街6号中仪大厦416/pp style="text-align: justify text-indent: 2em "联系方式:陶经理 010-88317223/pp style="text-align: justify text-indent: 2em "3. 项目联系方式/pp style="text-align: justify text-indent: 2em "项目联系人:陶宇/pp style="text-align: justify text-indent: 2em "电话:010-88317223/pp style="text-align: justify text-indent: 2em "br//pp style="text-align: justify text-indent: 2em "strong推荐信息:/strong/pp style="text-align: justify text-indent: 2em "a href="https://www.instrument.com.cn/news/20201127/566092.shtml" target="_self" style="color: rgb(0, 112, 192) text-decoration: underline "span style="color: rgb(0, 112, 192) "中科院金属所场发射扫描电镜采购项目公开招标/span/a/ppbr//p
  • 工物系唐传祥、颜立新课题组在太赫兹电子束研究中取得重要进展
    太赫兹(THz,1012Hz)是频率介于微波与红外之间的电磁波辐射,在基础科学和应用领域有重大需求。在需求最迫切的1-10THz频段,电子学和光学方法尚难以产生高功率、窄带宽且连续可调谐的THz辐射,因而被科学界称为“THz间隙”难题。加速器电子束可以通过多种机制产生高功率THz辐射。不考虑横向影响,当电子束长度远大于辐射波长时,电子束辐射不相干,辐射功率与电子数目成正比;而当电子束长度显著小于辐射波长时,束团电子的辐射场可相干叠加,此时辐射功率与电子数目平方成正比,远强于非相干辐射。如产生1THz的强相干辐射,需要大电荷量(如1nC)电子束长度显著小于1ps。由于空间电荷排斥力和束流传输非线性,大电荷量电子束难以压缩到足够短的长度,导致其产生的THz辐射功率和可调范围受到很大限制。因此,现有的加速器THz辐射用户装置通常采用较长的电子束(~ps量级),且工作在低增益模式,利用约束在谐振腔内的THz辐射在磁铁的扭摆下与高重频(几十MHz)电子束相互作用实现功率放大,造价较高且不易维护,很难在单条束线上实现1-10THz连续可调谐的高功率THz辐射。近十余年来,学术界开始探索电子束纵向整形或预聚束方法,试图跨越电子束辐射的低增益阶段,直接产生高功率相干THz超辐射。其中,电子束被纵向整形为具有周期性密度尖峰的电子微束团串,若其周期与辐射波长相同,则在磁铁的扭摆作用下,这些微束团的辐射也会相干叠加,从而产生相干超辐射。与单个超短束团不同,电子微束团串的辐射频谱集中在电子束密度调制频率及其谐波处,为窄带相干辐射。此时,辐射功率与电子数目和聚束因子(电子束纵向分布的傅里叶变换)都成平方正比关系。但这种可调THz微束团串的产生具有极大挑战,成为近年来领域研究热点。国际上多个知名加速器实验室开展了深入研究,在《物理评论快报》(Physical Review Letters)期刊上发表了近10篇研究论文。但迄今实现的电子微束团串频率调节范围仍十分有限。近日,清华大学工程物理系唐传祥、颜立新课题组在《自然光子学》(Nature Photonics)期刊上在线发表了国际首个覆盖“THz间隙”的电子束实验验证结果,首次产生了1-10THz宽频域范围连续可调的高聚束因子电子微束团串,解决了本领域长期存在的难题,为新型高功率可调窄带太赫兹辐射光源发展及应用铺平了道路。在该课题组近期提出的方案中,通过控制电子束自身的空间电荷力,可在1-10THz宽频域范围获得高聚束因子的可调电子微束团串,从而可直接产生覆盖“THz间隙”的高功率的THz超辐射。该方法通过驱动激光整形在加速器光阴极处产生具有初始密度调制的电子束,结合非线性空间电荷振荡在电子枪出口处产生周期性电流尖峰。在下游传输中,周期电流尖峰的空间电荷力作用于电子束自身,产生准线性的能量调制,进一步经色散段可转化为尖峰密度调制。调节加速管相位,给电子束施加不同的能量啁啾,经压缩可改变微束团间距,从而实现电子微束团串宽频域可调。该方案在清华大学加速器实验室获得了验证,首次成功产生了1-10THz连续可调谐的电子微束团串,聚束因子达到了创纪录的0.35。进一步模拟表明,利用1nC电荷量的该电子束经过3米长波荡器,可在1-10THz产生百μJ量级连续可调谐的窄带THz辐射。方案仅通过控制束流聚焦,无需增加额外调控器件,十分简单有效,且不受重复频率限制,因而可发展高平均功率窄带THz源。这是国际首个经实验验证的覆盖1-10THz的电子束产生方法,提供了有效解决“THz间隙”难题的紧凑加速器光源方案。电子束团串的实验产生(a)半周期非线性空间电荷振荡后的电子束密度分布和空间电荷力(b)实验束线,包括光阴极电子枪、加速管、磁压缩器、电子束和THz诊断设备(c)(d)关闭和开启磁压缩器测量的电子束纵向相空间(e)未通过偏转磁铁测量的电子束纵向分布。相关研究成果以“可辐射1-10THz高功率窄带太赫兹的宽频域可调电子束团串”(Widely tunable electron bunch trains for the generation of high-power narrow-band 1-10 THz radiation)为题在线发表于《自然光子学》(Nature Photonics)期刊。该工作通讯作者为工物系副教授颜立新,第一作者为工物系2016级博士生梁一凡,现就职于深圳综合粒子设施研究院。合作者有唐传祥教授、杜应超副教授、李任恺教授、施嘉儒副教授、程诚副研究员、黄文会教授和刘卓辕、田其立、李彤、林显彩等博士生。该工作得到了国家自然科学基金重点项目的支持。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制