当前位置: 仪器信息网 > 行业主题 > >

半导体制冷片原理

仪器信息网半导体制冷片原理专题为您提供2024年最新半导体制冷片原理价格报价、厂家品牌的相关信息, 包括半导体制冷片原理参数、型号等,不管是国产,还是进口品牌的半导体制冷片原理您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体制冷片原理相关的耗材配件、试剂标物,还有半导体制冷片原理相关的最新资讯、资料,以及半导体制冷片原理相关的解决方案。

半导体制冷片原理相关的资讯

  • 显微镜用半导体制冷C接USB3.0 CCD相机-广州明慧
    MH系列双级半导体深度制冷温度可控C接口USB3.0 CCD相机显微镜制冷CCD相机MHC600-MC基本特性:MHC600-MC制冷ccd相机搭载了Sony ExView HAD CCD或HAD CCD等高性能图像传感器,针对传感器固有的热噪声,专门设计了高效制冷模块使得相机传感器的工作温度比环境温度低达-50度。针对低温结雾现象,设计了防结雾结构,确保传感器表面在低温情况下不会防结雾。MHC600-MC制冷ccd相机这一独有技术大大降低了图像噪声,保证了图像质量的获取。显微镜制冷CCD相机MHC600-MC的优势:基于SONY CCD传感器的科学级专业相机;双级专业设计的高性能TE冷却结构,结构灵巧,散热速度快;温度任意可控,超低噪声,最高达50度温度降幅 精巧防结雾结构,确保传感器在超低温度情况下传感器表面不会结雾;高速USB3.0接口,传输速度高达5Gbits/s,实现快速预览 Ultra-Fine颜色处理引擎,实现完美颜色再现能力;相机附送高级图像处理软件以实现对相机的控制与捕获图像的处理。软件触发或外部触发,支持视频同软件/硬件触发模式捕获单帧或多帧图像;支持长达1小时的精准曝光控制技术;IR-CUT双AR膜保护玻璃(可选);随相机提供高级视频与图像处理应用软件 提供Windows/Linux/macOS/Android多平台标准SDK。显微镜制冷CCD相机MHC600-MC可用于弱光或荧光图像的拍摄,其主要应用有:明场显微镜;暗场,微分干涉 (DIC) 显微镜;活体细胞成像,细胞或组织病理学检测,细胞学;缺陷分析,半导体检测,精密测量;微光荧光成像,GFP 或 RFP 分析,荧光原位杂交(FISH);荧光共振能量转移显微镜,全内反射荧光显微镜,实时共聚焦显微镜,失效性分析,天体照相。
  • 半导体快速退火炉的原理和应用
    半导体快速退火炉(RTP)是一种特殊的加热设备,能够在短时间内将半导体材料迅速加热到高温,并通过快速冷却的方式使其达到非常高的温度梯度。快速退火炉在半导体材料制造中广泛应用,如CMOS器件后端制程、GaN薄膜制备、SiC材料晶体生长以及抛光后退火等。一、快速退火炉的原理半导体快速退火炉通过高功率的电热元件,如加热电阻来产生高温。在快速退火炉中,通常采用氢气或氮气作为气氛保护,以防止半导体材料表面氧化和污染。半导体材料在高温下快速退火后,会重新结晶和再结晶,从而使晶体缺陷减少,改善半导体的电学性能,提高设备的可靠性和使用寿命。1.1快速退火(RTA)与传统退火相比,快速退火具有更高的加热和冷却速度。通过快速加热和冷却,可以缩短退火时间,提高生产效率。1.2快速热处理(RTP)热处理是半导体制造中的一项关键技术,它可以改变材料的微观结构和性能。在热处理过程中,材料被加热到高温,然后进行保温和冷却。这个过程中,材料内部的原子会发生重新排列,从而改变材料的物理、化学和机械性质。二、半导体退火炉的应用领域1.封装工艺在封装工艺中,快速退火炉主要用于引线的切割和组装。引线经过切割和组装后,可能会产生内应力,影响封装的稳定性和可靠性。通过快速退火处理,可以消除引线内的应力,提高封装的稳定性和可靠性,保证产品的使用寿命。2.CMOS器件后端制程在CMOS器件后端制程中,快速退火炉可用于修复制程中产生的损伤和缺陷,增强器件的电学性能。通过快速退火处理,可以减少CMOS器件中的氧化物陷阱电荷和界面态密度,提高器件的可靠性和寿命。3.GaN薄膜制备GaN是一种重要的宽禁带半导体材料,具有优异的光电性能和稳定性。在GaN薄膜制备过程中,快速退火炉可用于提高薄膜的结晶质量和表面平滑度。通过快速退火处理,可以消除薄膜中的应力,减少缺陷,提高GaN薄膜的光电性能和稳定性。4.SiC材料晶体生长SiC是一种具有高热导率、高击穿电压、高饱和电子速度等优良特性的宽禁带半导体材料。在SiC材料晶体生长过程中,快速退火炉可用于提高晶体生长的质量和尺寸,减少缺陷和氧化。通过快速退火处理,可以消除晶体中的应力,提高SiC材料的晶体品质和性能。5.抛光后退火在半导体材料抛光后,表面会产生损伤和缺陷,影响设备的性能。快速退火炉可用于抛光后的迅速修复损伤和缺陷,使表面更加平滑,提高设备的性能。通过快速退火处理,可以减少表面粗糙度,消除应力,提高材料的电学性能和可靠性。
  • 一文了解|制冷型和非制冷型红外探测器的区别
    红外探测器是一种能够探测红外辐射的设备,主要由探测元件和信号处理电路组成。根据其工作原理的不同,红外探测器可以分为制冷型和非制冷型两种类型。本文将详细介绍制冷型红外探测器和非制冷型红外探测器的原理、特性、区别、应用场景等。制冷型红外探测器【原理】制冷型红外探测器采用红外辐射的吸收来产生电信号,其探测元件是一种特殊的半导体材料,例如氧化汞、锑化铟等。当红外辐射照射到探测元件上时,将会激发探测元件中的载流子,进而产生电信号。但由于载流子的寿命非常短,为了保证探测器的灵敏度和响应速度,需要将探测元件制冷至低温,通常为77K。这种制冷技术通常采用制冷剂制冷的方法,例如液氮和制冷机等。【特性】制冷型红外探测器具有高灵敏度、高分辨率、高响应速度和宽波段响应等特点。由于探测元件的制冷温度非常低,因此可以有效减少热噪声的影响,提高探测器的灵敏度和分辨率。同时,制冷型红外探测器具有极高的响应速度,可以实现高速实时探测,非常适合于远距离监测、目标跟踪等应用场景。【应用场景】制冷型红外探测器广泛应用于远距离监测、目标跟踪、导弹导航、航空、航天、军事侦察、安防监控等领域。例如,制冷型红外探测器可以用于导弹的制导和跟踪,对于高速飞行的目标,需要具备高灵敏度和高响应速度,这正是制冷型红外探测器的优势所在。此外,制冷型红外探测器还可以用于医学诊断和科学研究等领域,例如在医学诊断中,可以通过制冷型红外探测器来检测人体的体表温度分布,从而诊断疾病。非制冷型红外探测器【原理】非制冷型红外探测器采用红外辐射的吸收来产生电信号,其探测元件通常是一种半导体材料,例如硅和锗等。当红外辐射照射到探测元件上时,将会激发探测元件中的载流子,进而产生电信号。由于探测元件的电阻随温度的变化而变化,因此可以通过测量探测元件的电阻来实现对红外辐射的探测。【特性】非制冷型红外探测器具有体积小、重量轻、价格低廉等特点,相较于制冷型红外探测器来说,更加便于制造和使用。同时,非制冷型红外探测器还具有响应速度快、适用于宽波段的特点,因此在一些特定的应用场景中具有优势。【应用场景】非制冷型红外探测器广泛应用于热成像、火灾报警、工业检测、安防监控等领域。例如,在热成像领域,非制冷型红外探测器可以用于检测建筑物和设备的热分布,从而提高能源利用效率和安全性。此外,非制冷型红外探测器还可以用于火灾报警,可以及时发现火灾并进行报警处理。在工业检测中,非制冷型红外探测器可以检测工业设备的异常热量,从而及时发现设备故障。在安防监控领域,非制冷型红外探测器可以用于监测人员和车辆等移动目标的热分布,从而提高监控的精度和准确性。区别【灵敏度与精度】制冷型红外探测器由于配备了制冷机组件,可以使红外探测器工作温度降低到很低的水平,从而提高了灵敏度,并具备更高的测量精度,能够实现更高的信号检测和分辨能力【工作波长】制冷式红外热像仪是敏感型红外热成像仪,可探测物体间细微的温差,它们工作在光谱短波红外(SWIR)波段、中波红外(MWIR)波段和长波红外(LWIR)波段。因为从物理学角度来讲在这些波段热对比度较高,热对比度越高就越容易探到那些目标湿度与背景差异不大的场景。非制冷型红外热像仪光谱集中在长波红外(LWIR)波段,8~14um范围。【使用功耗】制冷型红外探测器需要通过制冷机维持较低的工作温度,这个制冷系统通常需要耗费较高的电能来驱动。所以,相对于非制冷红外探测器,制冷型红外探测器的功耗一般较高。【应用】制冷型红外探测器通常具有更高的灵敏度和分辨率,适用于需求更高性能的应用场景,例如远距离探测系统等、科学研究等。非制冷型红外探测器虽然相对于制冷型红外探测器性能较低,但价格更经济实惠,适用于安防监控、消防救援、无人机载荷、户外观测等领域。举例说明以非制冷型红外探测器在安防监控领域的应用为例,一些商业场所需要进行24小时的监控,以确保安全。在这种情况下,非制冷型红外探测器可以用于监测人员和车辆等目标的热分布,从而提高监控的精度和准确性。例如,在停车场的监控中,可以通过非制冷型红外探测器来检测停车位上是否有车辆,以及车辆的数量和位置。当检测到停车位上有车辆时,就可以向管理人员发送相应的通知,以便及时采取措施维护停车场的秩序和安全。另外,非制冷型红外探测器还可以用于火灾报警。在一些需要保持高温的场所,例如电力设施、化工厂等,火灾的风险较高。这些场所可以使用非制冷型红外探测器来监测设备的温度,一旦检测到异常温度变化,就可以及时发出火灾报警信号,通知相关部门进行应急处理。综上所述,红外探测器作为一种重要的光学传感器,在热成像、安防监控、工业检测、医学诊断等领域中发挥着重要作用。制冷型红外探测器和非制冷型红外探测器各有优缺点,在不同的应用场景中都有广泛的应用前景。
  • 闲聊半导体行业和芯片人的”黑话“(中)
    上篇聊的偏粗线条,这篇会聊一些更细节的半导体“黑话”,还有就是这些半导体独立王国的“语言”和我们“正常人”说的话到底有什么关联,毕竟半导体行业不是凭空出世的,芯片人更不是“空降兵”,这个细分领域的技术和应用是有其根基和土壤的; “正常”电镜人里做冷场发射电镜CFESEM的,特别是做氦离子显微镜HIM的,都熟悉“Anneal”这个术语,指的是通过瞬间加热灯尖驱逐吸附的气体分子,增强钨单晶的活性以便于灯尖切削,俗称“重生”;半导体对“Anneal”的”黑话“称呼差别比较大叫回火,又称退火,也是对wafer进行热处理的一种手段;更广义地讲,集成电路IC工艺中所有的在氮气等不活泼气氛中进行的热处理的过程,都可以称为退火;”退火“与“重生”异曲同工,目的一是激活杂质,使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载流子,起到掺入杂质Doping的作用;二是消除损伤,离子植入Ion Implatation后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁区;由于进入底材Substrate中的离子行进中将硅原子撞离原来的晶格位置,而导致的晶体的特性改变就叫损毁;而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、时间差异来控制全部或局部的活化植入离子的功能。氧化制程中也有回火工序,主要是为了降低SiO2的晶格结构。退火方式有传统的炉Furnace退火,还有更先进的快速退火:包括脉冲激光法、连续波激光、非相干宽带频光源;如卤光灯、电弧灯、石墨加热器、红外设备等;“Furnace”在透射电镜TEM样品加热杆Heating holder上很常见,就是“坩埚”。 这里提到的离子植入Ion Implantation对熟悉FIB的“正常人“并不陌生,传统聚焦离子束利用Ga+离子束进行切割Milling、截面Sectioning、或是在气体注入系统GIS的配合下做刻蚀Etching,离子束在不同的气体配合下,可以做到选择性刻蚀Selective Etching,或增强型刻蚀Enhanced Etching;在FIB中,掺杂物Dopant对样品的掺杂Doping,我们是不希望看到的,注入到样品里的Ga+会被视为对样品的污染Contamination;而晶圆制造中的离子植入,是在原本本征的半导体里主动的植入或通过扩散的方法将其它的原子或离子掺杂进去,达到改变其电性能的方法,这里,我们是希望看到样品wafer性能发生改变的; 甚至,当一般植入的离子分布达不到要求时,还会加码,通过进炉管Furnace加高温的方式将离子进行扩散Diffusion,以达到我们对离子分布的要求,同时对离子植入造成的缺陷进行修复;这里涉及到的设备叫扩散炉Diffusion furnace;此工序就是在扩散炉管内通以饱和的杂质蒸汽,使芯片表面有一高浓度的杂质层,然后以高温使杂质驱入扩散,快速完成固态扩散需要约数亿年才能完成的任务;Diffusion虽然能较精确地选择杂质Dopant的数量,但受限于离子能量,无法将杂质驱入芯片更深(um级别)的区域了;因此需借着原子有从高浓度往低浓度扩散的性质,在相当高的温度去进行,一方面将杂质扩散到较深的区域,且使杂质原子占据硅原子位置,产生所要的电性,另外也可将植入时产生的缺陷消除;此方法就称为驱入Drive-In;“Drive-in“在这里就不是那种能直接开车进去看电影的露天电影院了;”Drive-In”工艺中,不再加入半导体杂质总量,只将表面的杂质往半导体内更深入的推进;在驱入时,常通入一些氧气﹒因为硅氧化时,会产生一些缺陷,如空洞Vacancy;这些缺陷会有助于杂质原子的扩散速度;电镜中用到的超高真空泵-离子泵Ion Getter Pump,有和上面说的半导体的“Drive-in”手段类似,通过将杂质气体分子驱入离子泵的钛合金板,逐步达到提升真空的功效;顺便一句,半导体制程中用到的”特种气体“,”黑话“叫”特气“。 还记得”正常“电镜上熟悉的用来做表层污染物去除的Plasma cleaner等离子清洗吗?电子枪和电子光学镜筒装配前会用来轰击清洁样品表面,避免超高真空下的发气outgassing,俗称”轰击台“;晶圆制程中的这类设备就叫Arc Chamber弧光反应室,和“轰击台”原理一样,事实上设备就是利用一个直流式的电浆发生器,操作电流产生的弧光电浆来清洁抛光晶圆表面;这里的电浆就是又一句半导体“黑话”,正常人一般叫它Plasma,或等离子体;电浆Plasma是人类近代物化史上重大的发现之一,指的是一个遭受部分离子化的气体,气体里面的组成有各种带电荷的电子,离子,及不带电的分子和原子团等;电浆发生器的两金属极板上加上直流电压而产生的电浆我们就叫它直流电浆DC Plasma了; 提到电浆,就顺便说下晶圆的镀膜Deposition环节;相比较使用化学蒸气沉积CVD Chemical Vapor Deposition方法的镀膜,使用物理蒸气沉积法PVD的镀膜工艺在高制程中更常被用到;PVD制程中,脱离电浆的带正电荷离子,在暗区的电场的加速下,获得高能量,当离子与阴电极产生轰击之后,基于能量传递的原理,离子轰击除了会产生二次电子以外,还会把电极表面的原子给"打击"出来,称为sputtering溅射;电极板加直流电压称为DC Sputtering直流溅射;阴极导电材料,因为像“靶子“被电浆打击,被称为靶材Target;说到这里,我嘘了一口气;终于,半导体人也不是必须只讲自己人的”黑话“,他们跟”正常人“一样,还是能讲些”人话“的;需要溅射镀膜比较细腻的可以用磁控DC溅镀机:为了使离子在往金属靶表面移动时获得足够的能量,除了提高极板间的电压外,还必须使离子在阴极暗区内所遭受的碰撞次数降低,就必须降低溅渡的压力,越低越好,以增长离子的平均自由行程;这样一来,单位体积内的气体分子数降低,使得电浆里的离子浓度也降低,导致溅渡薄膜的沉积速率变慢,整体镀膜更加细腻;“正常”电镜实验室中,为了增加样品导电性,我们会去给样品镀一层金膜,这里的“镀金仪”就是缩小版的Fab里的PVD设备了;除了“镀金”,我们还经常会去“镀碳”;碳膜比起金膜来,可以增强样品表层导电性,但不会影响到“打能谱”,标定样品的化学元素信息;所以生物样品常用镀金,材料样品更愿意镀碳,就是这个道理; 我们利用Sputtering溅射技术镀金,镀碳的技术则为Evaporation蒸镀;Fab里的采用蒸镀技术的PVD设备,就是将蒸镀源放在坩埚Furnace里加热,当温度升高到接近蒸镀源的熔点附近时,原本处于固态的蒸镀源的蒸发能力将会特别强,利用这些被蒸发出来的原子,就可在附着在离其不远处的芯片Wafer表面上,形成薄膜式的沉积层,这种方法就是蒸镀Evaporation了;随着用以隔离之用的场氧化层FOX,CMOS电晶体,金属层及介电层等构成IC的各个结构在Chip芯片上建立之后,芯片的表面也将随之变得上下凸凹不平,致使后续制程变得更加困难;所以,传统半导体制程就开始执行芯片表面平坦化的技术了;以介电层SiO2的平坦化为例,有高温热流法、各种回蚀技术及旋涂式玻璃法;当 超大规模集成电路 VeryLarge Scale Integration- VLSI的制程推进到0.35um以下后,上面这些技术就不能满足制程需求了,故而也就产生了Chemically Mechanical Polishing化学机械研磨法CMP制程;所谓CMP就是利用在表面布满研磨颗粒的研磨垫Polishing pad,对凸凹不平的晶体表面,藉由化学助剂Reagent的辅助,以化学反应和机械式研磨等双重的加工动作,来进行其表面平坦化的处理;看到这里,您不难看出,这个半导体的CMP不就是我们“正常”实验室人经常操作的电化学抛光Electro-ChemicalPolishing制样流程吗?怎么什么进了半导体应用的门槛就非得改名,开说“黑话“呢?由于半导体芯片行业薪酬普遍高出“正常“行业一头,导致在这个行业里的从业人沾沾自喜;笔者接触过一位有幸掌握了CMP操作技术的年轻人,”下界“来做电镜售后,满脑子都是半导体厂里的条条框框,动辄fingerpointing这个那个流程不够详细规范,无法操作,责任不明等等,俨然就是一位被半导体惯坏Spoiled的巨婴,”下凡“之后连正常思考都不会了;这里例子让我知道了即使在光鲜的半导体行业里,也会培养出只知规程,而实际却”四体不勤,五谷不分“的庸才。通篇看到这里,要是您要还没说“服了You”的话,那下面我们再接着多看多学一堆半导体的”黑话“吧;一片大硅片、OR晶圆,即Wafer上有许多相同的方形小单位,这些小单位叫Die,大家在这里肯定都理解这不是那个常用动词了吧?不过学材料的同学,你们知道它“黑话“叫“晶粒“吗?这回不淡定了吧;同一片上的每个Die晶粒都是相同的构造,具有相同的功能,每个晶粒经切割封装后,就制成了一颗颗我们日常生活中常用的IC芯片了,所以每一个大晶圆片所能造出的小IC数量是很可观的;同样地,如果因制造的疏忽而产生的缺陷,往住波及到同一批次Lot里成百成千个产品;说到这里,各位看官也明白为什么晶圆厂都喜欢300mm,就是12英寸的大硅片了吧;没错,一次出货出的多,省耗材省时间,人人喜大普奔;这里再来个插科打诨,Die的复数这里“黑话“叫Dice;没错,就是我们耍的”骰子“一个词;让我们期盼这来之不易的晶圆片不会轻易地godie,好不容易划片成功的裸Die不会变态地被当骰子耍吧;Fab里最贵的三类设备,也就是晶圆制造前道工艺中的三大设备了;除了上面提到的各类“D”类Deposition沉积镀膜设备,其余两大设备就是听得耳朵都长茧子的的光刻机Photolithography“L“类设备,和光刻后的刻蚀机Etching”E“类设备了;目前海外Fab使用最广泛的光刻机就是DUV深紫外光刻Deep-UV lithography,和ASML动辄卖上亿美元一台的EUV极紫外光刻机Extreme-UV lithography了;不知不觉中,ASML和EUV似乎成了光刻机的代名词;事实上,能够在硅基上“刻”出图案的技术有多种:X射线光刻 X-ray lithography、电子束光刻 Electron beamlithography EBL、氦离子束光刻 Helium ion beam lithography、等离子体飞秒激光光刻Femtosecond Plasma Lithography FPL、纳米压痕刻Nano Imprint Lithography NIL;这么多种类的光刻技术,光刻机的供应商自然不会只有ASML一家,ASML倒确实是唯一一家既可以做EUV光刻,也能做EBL光刻的光刻机“大户”;ASML是在收购了用13260只电子束EBL跟它PK光刻老大败北的Mapper后,同时获得这项技术的;上面有提到可以说是半导体芯片行业使用频率可以排名前三的“黑话”,叫Fabless,业务里不做Fab的就叫Fabless;我们现在聊到了光刻,曝光时需要拿一片事先印好图形玻璃模板叫Mask或Reticle,放在光源与物镜之间的这一类叫做光罩Mask光刻,DUV、EUV光刻就是这类了;同理,曝光过程中不用模板辅助显影,而是光源直接接触硅片的技术就叫无光罩Maskless光刻了,带电粒子束光刻,也就是电子束、离子束一类光刻就属于这类了;“黑话”的特性是除了“道上”的同行,别人都听不懂的;这里的Maskless就又是一个“活生生”半导体的“黑话“的例子;既然提到“曝光“exposure,我们就顺便聊下半导体”盗用“摄影师的这条行话吧;早期照相机底片的感光原理在集成电路的制造过程中也适用;借助”曝光“,可以将光罩,又叫光掩模,也就是Mask上的事先定义的图形Pattern,转移到晶圆片上,借助大数值孔径Numerical Aperture NA的物镜的帮助,形成了更为精细的光阻图形;所以如果”光刻“是晶圆制造中最关键的一步的话,”曝光“便是”光刻“中那个最重要的步骤;既然重要,这里我们就多聊两句”黑话“:以使用最广的5XStepper为例,其方式就是把对紫外线UV敏感的光阻膜PR当作照相机的底片,光罩上是芯片架构师用EDA设计的各种图形,以特殊波长的光线,比如G-Line 436nm照射穿过光罩,经过物镜,又叫缩小镜或Reduction Lens后,光罩上的图形则呈5倍缩小,精确地定义在”底片“,也就是芯片上的光阻膜,又叫光刻胶或Photoresist,再经过显影Development后,即可将照到光线的正光阻膜显掉,从而就得到了我们心心念念地想要的各种精细图形,准备好为下一步的刻蚀或离子植入制程使用;因为光阻膜对于某特定波长的光线特别敏感,所以Fab厂都有黄光区,将一切照明用光源统一过滤成昏黄色,以避免泛白光源中含有对光阻有感光能力的波长成份存在,否则会发生光线污染,扰乱精细的光阻成形。就像胶片时代的照相底片一样,光刻胶 photoresist,又称光阻剂,也有正负之分;半导体的负片叫负性光刻胶negative photoresist,正片叫正性光刻胶 positive photoresist,较高制程的Fab多会用到正胶,显影时正胶会被显没,留下底下需要被刻蚀掉的硅片区域;“光刻胶“叫起来比”光阻剂“更加顺口,也算是一句标准的下凡“黑话”吧;这个关于光刻胶的“黑话”群还包括:无机光刻胶 inorganic resist、多层光刻胶 multilevel resist、电子束光刻胶 electron beam resist、X射线光刻胶 X-ray resist等分类;还有甩胶 spinning、涂胶 coating、烘胶 baking、去胶stripping、氧化去胶 removing by oxidation、等离子体去胶 removing of by plasma,等等。曝光工艺里还时不时地会听到还分接触式曝光法contact exposure、接近式曝光法 proximity exposure、还有投影式曝光法 projection exposure的说法,这是不是让刚刚觉得自己懂了点晶圆制造的半导体小白,只瞅了一眼半导体的世界,一下子又跌回了“深渊“呢?半导体“黑话“这次就先聊止于此,下篇是Save the best to the last,最精彩的那一集大结局;敬请期待。
  • 汗诺制冷型干式恒温器厂家直销
    上海汗诺仪器有限公司专业生产恒温金属浴,制冷型金属浴现货供应厂家直销,欢迎选购www.hanuo.cn 18621653239 薄利明产品简介HNDTC-100 干式恒温器(制冷型) 价格:7200元是采用微电脑控制和半导体制冷技术制造的一款恒温金属浴产品,仪器可配置多种模块,可广泛应用于样品的保存、各种酶的保存和反应、核酸和蛋白质的变性处理、PCR 反应、电泳的预变性和血清凝固等。 产品特点1.即时温度显示、时间递减显示;2.强大的可编程功能实行多点温度点的控制,最多达5个温度点的温度和恒温时间的设置及连续运行3.自动故障检测及蜂鸣器报警功能;4.温度偏差校准功能;5.便捷的模块更换,便于清洁与消毒;6.内置超温保护装置;7.液晶屏显示,按键开关。性能指标1.控温范围:-10℃~100℃;2.升温时间:&le 15Din (从20℃升至100℃);3.降温时间:&le 20Din室温-25℃,(环境温度为30℃下检测); &le 30Din (室温-30℃),(环境温度为25℃下检测);4.温度稳定性@100℃:&le ± 0.5℃;5.模块最大温差@40℃:0.3℃;6.模块温度均匀性:&le ± 0.3℃;7.显示精度:0.1℃;8.时间设置最长:99h59Din;9.最高温度:100℃;10.模块型号选择:参见DTC-100系列可更换模块。1.最大功率150W;2.外形尺寸:270x190x170 DD;3.净重:2.2Kg。HNDTC-100系列可更换模块型号孔径及试管数最高温度备注 A96× 0.2Ml标准板100℃ B54× 0.5Ml离心管100℃ C35× 1.5Ml离心管100℃ D35× 2.0Ml离心管100℃ E15× 0.5Ml+20× 1.5Ml离心管100℃ F24× 直径&le &phi 12DD试管100℃ G32× 0.2Ml+25× 1.5Ml离心管100℃ H32× 0.2Ml+10× 0.5Ml+15× 1.5Ml离心管100℃ I103× 67× 30 (方槽模块)100℃ J96× 0.2Ml酶标板 (平底)100℃ K可订做100℃
  • 升级光刻机管制!荷兰发布先进半导体制造设备出口禁令
    6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束。该部长令于3月8日在致众议院的一封信中宣布,并于今天在《政府公报》上公布。对外贸易和发展合作部长Liesje Schreinemacher说,我们采取这一步骤是出于国家安全考虑。对于将受到影响的公司来说,知道他们可以期待什么是好事。这将给他们所需的时间来适应新规则根据这项部长令,现在有必要为某些类型的先进半导体制造设备的出口申请出口许可。该订单涉及先进半导体开发和制造的一些非常具体的技术。由于它们的具体使用方式,这些半导体可以为某些先进的军事应用做出关键贡献。因此,货物和技术的无管制出口可能构成国家安全风险。荷兰在这方面负有额外的责任,因为该国在这一领域具有独特的领导地位。与一般的出口管制政策一样,这一额外步骤是不针对国家的。“我们仔细考虑了这一决定,并尽可能准确地起草了部长令。这位部长说,这样,我们就可以解决最重要的漏洞,而不会对全球芯片制造造成不必要的干扰。据媒体报道,荷兰在先进半导体出口限制中除了EUV光刻机、较为先进的DUV光刻机外,还包括了ALD设备以及一些SiC外延设备。以下为使用谷歌翻译软件翻译的荷兰语公告:
  • 芯片法案:它将如何影响中国和美国的半导体行业?
    ●美国的法案旨在提高美国对中国的竞争力,特别是在半导体行业的竞争力,给北京的长期计划蒙上了阴影,削弱了中国的芯片自给自足驱动力。●美国显然也在考虑禁止将美国芯片制造设备运往中国制造先进NAND芯片的工厂。●即使是美国公司,由于这种艰难的技术脱钩和禁止国内半导体公司向中国客户销售,预计也会失去其全球市场份额和收入。华盛顿一直在不懈地加大力度,遏制中国芯片产业的发展,中国芯片产业依靠进口技术发展壮大。8月9日,拜登总统签署《芯片法案》——补贴美国半导体行业,使其与中国更具竞争力。预计数十亿美元的努力将削弱中国在全球半导体供应链中的作用,但在影响会有多大呢?该法案于两周前通过,其中包括超过520亿美元的半导体制造和研究资金,拜登政府已将其列为美国与北京竞争的当务之急。虽然芯片制造商普遍欢迎华盛顿期待已久的通过一项为美国半导体行业提供资金的法案,但他们在两者之间处于困境,因为接受这些补贴可能会束缚他们未来在中国的投资。这意味着,像韩国三星电子(Samsung Electronics)和SK海力士(SK Hynix)这样的芯片巨头,在英国和中国都有业务,将受到限制,无法向在中国经营的工厂运送新技术工具。三星和SK海力士控制着全球一半以上的NAND闪存芯片市场,近几十年来在中国投入巨资,生产对包括苹果、亚马逊、Facebook所有者Meta和谷歌在内的客户至关重要的芯片。除了计算机和手机,这些芯片还用于需要数字数据存储的电动汽车等产品中。简而言之,成为Chips基金的接收者将阻止三星和SK海力士升级为全球客户提供服务的工厂。自半导体法案在过去两年中浮出水面以来,中国本身并没有停滞不前。中国驻华盛顿大使馆甚至表示,中国“坚决反对”它,称其让人想起“冷战心态”。减缓中国及其半导体产业崛起的所有努力除了芯片法案,华盛顿还一直在推动所谓的Chip 4联盟 - 美国设想的包括韩国,日本和台湾在内的伙伴关系 - 以排除中国。与此同时,美国官员也在游说荷兰芯片设备巨头ASML停止向中国的晶圆厂出售更多的光刻系统。甚至最近的更新表明,美国正在考虑禁止将美国芯片制造设备运往中国制造先进NAND芯片的工厂,这将是美国首次瞄准存储芯片行业。就在该报告发布几天前,包括参议院多数党领袖查克舒默(Chuck Schumer)在内的美国参议员写信给美国商务部长吉娜雷蒙多(Gina Raimondo),要求将长江存储器技术公司(YMTC)等中国芯片制造商列入美国贸易黑名单。与2020年12月被列入美国实体名单的中芯国际不同,自2016年成立以来一直与华盛顿保持相对良好关系的YMTC未被列入任何美国贸易黑名单。就背景而言,有关潜在禁令的讨论正值YMTC一直在加大其在武汉的第二家制造工厂的建设力度,以提高产量并提高其生产水平。据日经亚洲报道,该公司于6月开始在新的芯片工厂安装设备,最早可能在2023年生产196层3D NAND闪存 。不幸的是,与中国大多数其他芯片制造商一样,尽管中国推动了技术独立,但这家中国存储芯片巨头仍然严重依赖进口芯片制造设备。截至今年7月,YMTC的制造设备中只有18%来自国内公司,中信证券首席电子分析师徐涛在最近的一份研究报告中表示。根据行业研究公司Yole Development的数据,YMTC去年NAND闪存芯片的全球市场份额为5%,到2027年有望超过10%。媒体报道甚至表明,华盛顿通常正在推动对中国的更严格的出口法规,涵盖制造14纳米及以下芯片所需的设备。这样的举动将使中国顶级芯片制造商半导体制造国际公司(SMIC)更难扩展到先进的芯片制造领域。到目前为止,甚至在《芯片法案》颁布之前,中国的半导体产业就已经显示出蓬勃发展的迹象,这让拜登政府怀疑他们应对其增长的努力是否奏效。根据行业机构Semi提供的数据,2021年中国来自海外供应商的芯片制造设备订单增长了58%,使其成为这些产品连续第二年的最大市场。“请记住,中国超过40%的设备支出是由跨国公司在那里运营的设施,以生产更接近大型合同装配基地的工厂,”SEMI负责人Ajit Manocha说。“此外,中国铸造厂的绝大部分装机容量都用于落后技术。中国连续两年成为芯片制造设备的最大买家。资料来源:Bloomberg & SEMI公平地说,中国公司并不是唯一一个失败的公司。波士顿咨询集团(BCG)估计,如果华盛顿采取硬性技术脱钩并完全禁止国内半导体公司向中国客户销售产品,美国公司将失去18%的全球市场份额和37%的收入,从而导致15,000至40,000个高技能国内工作岗位的损失。相比之下,如果华盛顿不扩大现有的实体清单出口限制,美国只会损失约8%的全球市场份额和16%的收入。然而,对于中国来说,脱钩的成本甚至更高,中国的生产商完全依赖进口由ASML和应用材料公司等外国公司设计的电子设计自动化(EDA)工具和半导体制造设备(SME)。
  • 进口额1200亿元!2020年半导体制造设备进出口数据盘点
    半导体作为最重要的产业之一,每年为全球贡献近五千亿美金的产值,可以毫不夸张的说,半导体技术无处不在。俗话说:巧妇难为无米之炊,半导体制造设备作为制造半导体器件和芯片的基础,在半导体产业中扮演着举足轻重的地位。然而,我国半导体仪器设备目前仍以进口为主,其中以日本、美国厂商为主。通过分析半导体制造设备的进出口情况,可以从侧面反映出中国半导体制造设备市场的一些情况。仪器信息网特对2020年1-12月,海关半导体制造设备进出口数据进行了分析汇总,为大家了解中国目前半导体制造仪器设备市场做一个参考。进口情况2020年各类半导体制造设备海关进口金额占比半导体制造设备包括CVD、PVD、光刻机、刻蚀机等设备。根据海关数据统计分析发现,2020年我国主要进口化学气相沉积设备(CVD)、等离子体刻蚀机和投影绘制电路图装置的金额最多。这表明我国在CVD、刻蚀机和投影绘制电路图装置(含光刻机)对外依存度最高。2020年1-12月半导体设备海关进口贸易伙伴金额分布图根据海关数据,2020年我国主要从日本、荷兰、美国、韩国、中国台湾以及新加坡等国家和地区进口半导体制造设备。同时,值得注意的是,日本占据了几乎三分之一的进口份额,我国对日本依赖严重,而荷兰的进口主要源于ASML的光刻机进口,根据ASML的2020财报披露,2020年全年净销售额为140亿欧元(约合1100 亿人民币),中国大陆占比18%,约198亿元。2020年进口半导体制造设备企业注册地分析图通过海关进口企业注册地数据,可以大致了解到进口半导体制造设备在国内的“落脚地”。可以看出 ,2020年,上海、江苏和湖北等省市的进口半导体制造设备的金额最多,而这些地区也是我国经济较发达,半导体产业比较发达的省份和地区,如中芯国际就位于上海。2020年1-12月半导体设备各月进口趋势变化对2020年1-12月半导体制造设备进口量逐月数据分析发现,半导体制造设备的进口数量呈现出波动增加的趋势,在8月份的进口额最高,2月份最低。出口情况2020年海关出口各类半导体制造设备金额占比根据海关数据统计分析发现,2020年我国主要出口化学气相沉积设备(CVD)、分步重复光刻机、等离子体干法刻蚀机和氧化扩散等热处理设备。2020年半导体制造设备海关出口贸易伙伴金额分布图进一步对海关出口贸易伙伴分析发现,2020年我国半导体制造设备主要出口中国台湾、美国、韩国、中国香港、越南等国家和地区。出口对象不仅有发达国家,还有发展中国家,但主要以集成电路产业发达的台湾地区、美国和韩国为主。2020年半导体制造设备出口企业注册地分析图通过分析这些出口企业注册地发现,企业注册地主要位于江苏、广东、上海和北京等省市,而这些地区也是我国经济较发达,半导体产业比较发达和科研实力强大的省份和地区。但相对于进口额,出口金额远低于进口金额。2020年1-12月半导体设备各月出口趋势变化对2020年1-12月半导体制造设备进口量逐月数据分析发现,半导体制造设备的进口数量呈现出波动增加的趋势,在12月份的进口额最高,2月份最低。进出口情况对比通过对进出口半导体制造设备对比,可以更清楚的了解我国半导体制造设备的产业发展水平和市场。各类半导体设备进出口均价对比半导体设备进口设备均价(元)出口设备均价(元)制半导体器件或IC的氧化扩散等热处理设备6214686.1364079.5制造半导体器件或IC的化学气相沉积装置14268806116462.2制造半导体器件或IC的物理气相沉积装置4979617.3229782.8其他制半导体件或集成电路用薄膜沉积设备8083053.3231300.7制半导体器件或集成电路用的分步重复光刻机5351967916821046其他投影绘制电路图的制半导体件或IC的装置1694642581375.06制造半导体器件或IC的等离子体干法刻蚀机132833974732948制造半导体器件或IC的其他刻蚀及剥离设备6345643.929869.35制造半导体器件或集成电路用的离子注入机18548451216146.4其他制半导体器件或集成电路用的机器及装置284072523381.61制造平板显示器的扩散、氧化等热处理设备12894204616435.8制造平板显示器用的化学气相沉积设备(CVD)73609711819447制造平板显示器用的物理气相沉积设备(PVD)2169161259459.53制造平板显示器用的其他薄膜沉积设备15556575——制造平板显示器用的分布重复光刻机64885068——其他投影绘制电路图的制造平板显示器的装置3765550.5987652.3制造平板显示器用的超声波清洗装置361904.21195.139统计结果表明,2020年我国进口半导体制造设备金额高达1200亿元人民币,出口设备约50亿元人民币。从设备均价可以看出,进口设备均价远大于出口设备,这表明我国半导体设备出口以低端设备为主,而进口以高端设备为主,各类设备中分步重复光刻机(步进光刻机)的均价最高。
  • 行业应用|半导体行业发展&解决方案
    8月11日,第十一届(2023年)中国电子专用设备工业协会半导体设备年会暨产业链合作论坛在无锡落下帷幕。参会专家指出,国产半导体设备已经取得突破性进展,海外设备进口和本土设备销售呈现“此消彼长”态势,上半年半导体相关行业制造业增长比较快,半导体器件专用设备制造业增加值增长30.9%。并预计2023年国产主要半导体设备制造商订单有望稳步增长。 中国电子专用设备工业协会理事长、北方华创董事长赵晋荣表示,10余年来中国半导体设备市场规模持续发展,尤其是近几年,中国本土设备取得了较大进步。数据来源:浙商证券研究所 虽然国产半导体设备在诸多领域实现了从0到1的突破,但是关键设备、零部件以及满足特殊工艺生产需求的国产半导设备依旧缺乏,良率、稳定性等还待进一步提升,在全球半导体设备市场的规模依旧偏小。 中国电子专用设备工业协会常务副秘书长金存忠指出,国产半导体设备进一步发展,还亟需解决光刻机等关键设备国产化率低等问题,目前国产制造商能够制造的主要是先进封装和LED领域的光刻机,而且光刻机的难点不仅在制造出来,还要保证设备的效率和良率。另外,关键零部件成本在设备进口成本占比较大等问题,有的厂商反馈零部件成本占比高达六成。  作为半导体设备终端用户,半导体制造厂商尤为关注生产效率和良率,就需要设备厂商与产业链进一步合作,攻关克难。“没有95%以上的良率、没有把成本降下来,企业是不能生存的,而且生产的越多,亏损的越多。”中国电子专用设备工业协会副秘书长、积塔半导体(上海)有限公司总工程师李晋湘表示,建议半导体制造企业和设备企业、材料厂商等进一步深化合作,推动工艺持续进步,不仅攻克具有经济效益规模而难度小的设备,也要挑战量小而难度大的设备,并且加强半导体设备的配套软件开发,建立和完善培训系统,让国内工程师能够掌握和使用好本土设备。小编也在这里整理了半导体行业相关优质解决方案供大家参考:集成电路检测方案:1、等离子清洗 仪处理 TEM透射电镜样品清洗和活化2、4H-SiC中EPI厚度,载流子浓度检测方案 3、硅片表面中金属污染物检测方案 4、集成电路制造行业常用有机溶剂中金属杂质检测方案 光电器件解决方案:1、小束斑+特色SnapMap快照成像功能分析SnOx成分半导体器件 2、高分辨光学链路诊断仪OCI+精准测量多分支光链路损耗3、SiC外延片测试方案4、TMC STACIS主动隔振器在抬高的钢支架上的隔振应用传感器解决方案:1、TEC半导体制冷片:热释电系数测试中的正弦波温度控制解决方案更多解决方案 请点击 查 看 ══════════▼▼▼══════════行业应用栏目简介:(http://www.instrument.com.cn/application/ ) 【行业应用】是仪器信息网专业行业导购平台,汇聚了行业内国内外主流厂商的优质分析方法及相应的仪器设备。栏目建立了兼顾国家相关规定和用户习惯的专业分类,涉及食品、药品、环境、农/林/牧/渔、石化、汽车、建筑、医疗卫生等二十余个使用仪器相对集中的行业领域,目前,已经收录行业解决方案6万+篇。
  • 炬光科技投资5亿元:泛半导体制程光子应用解决方案产业基地项目正式开工
    近日,西安炬光科技股份有限公司(以下简称“炬光科技”)在合肥高新区投资的泛半导体制程光子应用解决方案产业基地项目取得《建筑工程施工许可证》,现场同步开工建设。据了解,该项目位于合肥高新区创新大道与柏堰湾路交口东北角,占地面积约39.8亩,计划投资5亿元,建设总建筑面积约7.9万平方米的3栋生产厂房及配套设施。炬光科技表示,将利用其在泛半导体应用领域积累的技术优势和丰富的产品开发经验,在合肥布局固体激光剥离LLO、Mini/Micro LED激光线光斑Reflow、半导体集成电路晶圆退火等公司现有的泛半导体业务以及太阳能电池应用、平板显示固体激光退火SLA、半导体集成电路制程等正在开发的新业务。据公开资料显示,炬光科技成立于2007年,主要从事光子行业上游的高功率半导体激光元器件和原材料、激光光学元器件的研发、生产和销售,重点布局汽车应用、泛半导体制程、医疗健康三大应用方向,向不同客户提供上游核心元器件和中游光子应用解决方案。其中,在泛半导体制程方面,炬光科技布局芯片制程、芯片先进封装激光辅助键合、新型显示三大领域,在新型显示领域已完成Mini LED Repair激光系统的产品迭代开发,同时推进面向客户需求的Micro LED巨量转移激光系统的设计,进入产品开发阶段。发展战略方面,炬光科技形成了以中国为总部、国际化经营的业务布局及发展战略。目前公司在国际化运营方面已经具有经验。截至2023年年底,公司境外资产4.27亿元,占总资产的16.23%。近年来,炬光科技凭借丰富的国际化运营经验,先后成功收购多个国际企业业务。具体包括,2017年,炬光科技成功并购LIMO GmbH,后者是一家位于德国多特蒙德的全球领先微光学和光束整形解决方案制造商;2022年,以3.5亿元人民币收购韩国显示面板及光刻掩膜检测和激光修复技术的设备提供商——COWIN 100%股权。今年,炬光科技又发布了两项重大收购。1月份,炬光科技完成对瑞士微纳光学元器件企业SUSS MicroOptics SA 100%股权的收购,快速提升公司在汽车投影照明领域的发展速度;5月份,宣布拟5000万欧元收购ams OSRAM被动光学元件资产,加速进入汽车照明、消费电子、内窥镜等领域。
  • 日本5月对华半导体制造设备出口额同比大增130.7%
    官方数据显示,日本5月出口额连续第六个月增长,主要得益于汽车和半导体。根据日本财务省公布的初步数据,该国出口总额达到8.2万亿日元(510亿美元),比去年同期增长13.5%。进口总额达到9.4万亿日元,同比增长9.5%,连续第二个月增长。其中,汽车出口额增长13.6%。芯片相关产品也有所增长,半导体制造设备出口额增长45.9%,包括半导体在内的电子元件增长24%。从数量上看,出口量连续第四个月下降0.9%。这表明,推动整体出口增长的是价格上涨,而不是大量需求。进口量也下降1.9%。“增长主要是由于出口价格上涨,推高了价值,而至关重要的出口量并不理想,”第一生命经济研究所经济学家Chisato Oshiba表示。根据日本央行公布的初步数据,日本5月以日元计算的出口价格较去年同期上涨10.9%。由于日元疲软和铜等金属价格上涨,进口价格上涨6.9%。根据日本财务省数据,按目的地划分,受半导体制造设备出口额同比增长130.7%的推动,对中国的出口额连续第六个月增长17.8%。此前数据显示,截至2024年3月的三个月里,是日本连续第三个季度将至少50%的半导体制造设备出口到中国,原因是中国对成熟制程相关设备的需求激增。日本贸易数据显示,中国占据半导体制造设备、机械零部件以及平面显示面板制造设备出货量的一半。日本5月对美国的出口额增长23.9%,达到1.7万亿日元;对亚洲的整体出口额增长13.6%;对欧盟的出口额下降10.1%。“汽车生产的正常化比最初预期的要长,”Chisato Oshiba谈及到日本一系列的汽车测试丑闻,“对欧洲的出口尤其如此,经济放缓导致对欧洲的出口下降。而对美国出口则呈现出稳步、渐进的复苏。”
  • 泰研半导体完成数千万元A轮融资,专注先进封装半导体设备国产化
    近日,深圳泰研半导体装备有限公司(以下简称“泰研半导体”)获得合创资本投资的数千万元A轮融资,本轮资金将主要用于产品扩产和交付。泰研半导体是先进封装领域的半导体工艺与设备服务商,可为客户提供SiP、 Fanout、 Chiplet、 3D等先进封装产线上 Laser(激光) + Plasma(等离子) + Sputter(镀膜)成套复合工艺与制程应用设备。中美贸易卡脖子情境之下,中国政府大力支持半导体设备国产化发展。在政策及资本的协同助力下,半导体制造商建厂热潮高涨,本土foundry、存储IDM大规模扩产,推动设备市场扩大。中国半导体设备市场的持续增长,及国产替代趋势的加速推进为中国半导体设备厂商提供了巨大的发展空间。根据SEMI数据,2021年半导体设备的全球销售额同比增长45%,增至1030亿美元,创历史新高。传统封装设备市场主要以美日韩三国企业为主导,中国在部分半导体工艺节点的设备供应上尚有性价比不错的供应商,但在高端工艺、先进工艺领域,中国的半导体设备供应能力略显不足。在半导体封装领域,先进封装工艺和传统封装工艺有所不同,先进封装在国内外都处于起步阶段,对于中国来说,面向先进封装的半导体设备具有快速发展的潜力。伴随着半导体工艺越来越逼近物理极限,行业开始探索通过先进封装来提高产品性能、改善产品工艺。据CSIA封装分会2020年报告,国内先进封装产线设备国产化率高达20%-50%以上,国产化率整体高于传统封装产线。目前,泰研半导体有着溅镀设备、激光设备、等离子设备三种类型的封装设备。⭕溅镀设备:在生产大尺寸产品上具备较大优势,可以通过镀膜工艺实现散热、RDL、EMI等功能。泰研拥有自主研发的腔体独立制冷系统、高散热系统、等离子体预处理系统等方面的核心设计能力和批量生产工艺,凭借这些核心能力,泰研的溅镀设备在实施EMI功能时能达到业界领先的高超水平,具体来说其侧壁覆盖率能够达到70%以上,而业内指标普遍在40%左右。⭕激光设备:可为客户提供芯片表面激光打码/读码、芯片切割开槽、3D封装激光钻孔等服务,泰研的激光设备集成了标记与AOI检测,可兼容SECS GEM(SEMI连接性标准E30,可用于设备的通讯和控制)和 RMS(半导体封测设备RMS系统),能提供自有IP的标记、检测、控制一体化软件,且通过创新的光路设计保证高精度和高稳定性。⭕等离子设备:具备基板和晶圆电浆清洗、光刻胶孔渣清洗、RDL线路蚀刻、RMC干蚀刻减薄、WPC等离子晶圆切割等功能,该设备的减薄工艺可以做到翘曲度非常小,能增强封装安全可靠性。半导体生产设备直接影响着半导体产品的最终质量,是整个生产过程中最为核心最为重要的因素。而下游封装厂考虑到自身生产的稳定性和持续性,更倾向于选择具有一定生产规模和知名度的供应商。因此,对于早期的半导体设备供应商来说,进入下游客户的壁垒非常高。半导体设备从产品零部件的设计,到自动入料系统的方向如何与产线上其他产品相匹配等各种细微环节的背后需要大量的行业认知和积累。泰研创始人张少波表示,“在激光标记领域,国内有较多的竞争者,但鲜少有能销售进入到国际顶尖半导体公司的设备企业,而泰研就是其中之一。”泰研的设备通过了包括欧洲工业车规芯片巨头在内的国际客户的严苛认证,符合技术规格要求,产品性能和质量均达到国际领先水平,并且已经开始对外批量供货,这标志着泰研成功打破了半导体设备行业的下游准入壁垒。除此之外,相比传统半导体设备供应商只集中在某几种半导体设备,泰研能够为下游客户提供先进封装产线全套设备的方案规划,帮助客户减少产品配套流程。泰研的这种能力,得益于其优秀的工艺设计能力和行业的深厚积累,目前泰研已将此方案规划业务在多家先进封装工艺的封装厂中开展。泰研半导体目前拥有1500平的工厂,预计本轮融资结束后将开始批量生产。合创资本副总裁刘华瑞博士表示,产业界普遍认为先进封装是目前半导体制造工艺达到物理极限后继续提升芯片功能性能的路径,作为支撑国内先进封装产业发展的坚实上游,泰研半导体的设备产品体系完备,涵盖先进封装产业多个细分领域,泰研团队拥有出众的先进封装工艺设计能力,能够充分发挥自身优势,为国产半导体设备产业发展及国产替代战略落地贡献更多力量。
  • CO2环保制冷剂在欧盟F-gas新规中的应用
    CO2环保制冷剂在欧盟F-gas新规中的应用 ——Memmert新环保制冷系列产品发布 Memmert在ACHEMA2018上推出了采用CO2(R744)作为制冷剂的系列产品:ICHeco 与ICPeco,这是两个新系列不但是环境友好型,而且,跟温室气体制冷箱体更加高效。 CO2制冷剂气候中性 伴随着合成制冷剂的淘汰进程推进,Memmert正在扩充其环境友好型温控箱体的范围,在此之前数十年间Memmert已经依托Peltier技术推出了无需制冷剂的HPP环境测试箱及IPP/IPS低温培养箱,并逐渐形成完整的产品系列。 GWP值(全球变暖潜能)被用来衡量废气对地面附近大气层变暖(温室效应)的影响程度大小,Memmert ICHeco/ICPeco系列立即使用的制冷剂CO2(R744)的GWP值仅为1,因此实际上是气候中性的。 相比之下,制冷剂R134a的GWP高达1430,以100年为跨度考察,在其排放到空气中引起的温室效应是CO2的1430倍。此外,R744不含氯,既不可燃,也无毒,不会造成臭氧层变薄,也不需要处理或回收。这是工业过程的副产品,这就是生产所消耗能源要远比合成含氟制冷剂要少的多的原因所在。欧盟含F气体F-gas法规促使转变 欧盟关于含氟气体F-gas气体新法规旨在到2050年将含氟温室气体的排放量比1990年削减90%。措施包括逐步减少交易量和颁布销售禁令。例如,从2022年1月1日起禁售GWP大于150的商用冰箱制冷剂。“Memmert第一时间做出了反应,以下几个原因,”Memmert研发部门负责人Stefan Kaufmann解释说。“一方面,我们的新款环保箱体有利于改善客户的环境资产负债表,另一方面,它们实际上是免维护的,并且在改善制冷效能方面表现突出。“ICH750eco对比的测量实验结果,显示其平均温升速率快出20%(22℃环境温度)。 ICHeco与ICPeco这两个系列产品还装配有业已验证有效的空气夹套系统。封闭的夹套系统拥有许多优点,适应范围广,可供温湿度环境模拟用。 关于美墨尔特(Memmert)全球领先的温控箱体领导品牌德国美墨尔特(Memmert)成立于1933年。近九十年来,美墨尔特一直致力于精确温控箱体的研发和生产,并引领箱体的发展方向与潮流。公司同时拥有悠久的半导体控温技术(Peltier)经验,为仅有的全系列半导体技术温控箱体制造商。产品包括二氧化碳培养箱、恒温恒湿箱、光照培养箱、低温培养箱、环境测试箱、真空烘箱、通用烘箱、灭菌箱、生化培养箱、超低温冰箱、至尊水浴油浴等。2010年9月11日,德国美墨尔特(Memmert)大中华区全资子公司——美墨尔特(上海)贸易有限公司在上海成立,现在北京、南京及广州设有代表处。“至尊品质,追求卓越,永不妥协”!
  • 22家企业回应日本出台半导体制造设备管制措施,整体影响不大
    日本经济产业省(经产相)5月23日公布了《关于根据出口贸易管理令附表第一及外汇令附表的规定修改部分规定货物或技术的省令等的修改概要》的文件。根据外汇及外国贸易法(外汇法)对货物等省令进行了修改,正式决定将至今为止要求公开评论的尖端半导体制造装置等23个品种添加到出口管理的限制对象中。经过两个月的周知期,将于2023年7月23日施行。(清单可查看:《日媒:7月23日起将实施先进半导体制造设备等23项商品出口限制 》)小编也关注了一些半导体公司的动态,发现在投资者关系互动平台上,众多半导体相关企业的投资者纷纷提出了关于“日本出台相关限制的影响”的相关问题,22家上市企业董秘也做出了回答!整体来看,目前日本出台的半导体制造设备的管制措施影响不大,对于部分半导体设备企业甚至产生了积极影响。投资者 问 劲拓股份:董秘您好!日本正式出台半导体制造设备出口管制措施,对于半导体设备国产化方面及专利数量较为突出的劲拓来说,是否是一项利好,是否在业务上有实际上的业绩增长的情况?在半导体设备需要国产突围的关键时刻,是否会加速国企入驻进程?谢谢!董秘回答(劲拓股份):尊敬的投资者,您好!半导体专用设备总体国产化率较低、供给和需求不平衡,具有较大的进口替代空间;在当前国际贸易摩擦的背景下,国产替代的需求则更加迫切。公司半导体专用设备为国产空白设备,品质和性能对标美国、德国等国技术和产品成熟度较高的企业,同时具有价格、交期、售后服务等方面的优势,具有较强的进口替代实力。2022年系公司半导体专用设备规模化销售元年,未来有着较大的市场份额提升空间;公司将积极把握市场机遇,促进半导体专用设备业务高质量发展和收入规模增长。 公司控股股东拟筹划表决权委托暨控制权变更事项的具体进展,敬请以公司披露于巨潮资讯网的公告为准。感谢您的关注和支持!投资者 问 云南锗业:董秘你好!日本通过限制出口半导体设备措施,请问是否对公司造成影响,公司半导体化合物生产设备是否全部来自国产或者国产有替换?董秘回答(云南锗业):您好,公司子公司云南鑫耀半导体材料有限公司设备种类较多,部分设备通过市场采购获得,其中包括了国产及进口设备,也有部分设备是根据生产工艺开发定制。根据目前公开信息,并未发现对公司产生影响。 感谢您对公司的关注!投资者 问 大族激光:董秘好,日本禁止出口半导体设备,目前大族在半导体设备制造方面有哪些相应设备,目前能否做到独立自主,能否做到国产替代,在高端半导体设备方面有哪些新规划新布局。谢谢董秘回答(大族激光):尊敬的投资者,您好!公司半导体设备主要产品为激光表切、全切设备,激光内部改质切割设备以及刀轮切割设备等前道晶圆切割设备;焊线设备、固晶设备、测试编带设备等后道封测设备以及晶圆自动化传输设备。谢谢。投资者 问 沪电股份:董秘您好!请问日本出台对半导体材料出口管制,对公司原材料采购及产品销售是否产生影响?还有请问公司目前产能优良率是多少?销售订单能见度是多久?董秘回答(沪电股份):公司产品为印制电路板,关于半导体材料方面的问题建议您去问询相关半导体公司;公司2022年度报告披露的全年整体良品率约92.74%;印制电路板为定制化产品,销售订单取决于市场整体需求,谢谢!投资者 问 北京君正:董秘你好。请问日本半导体限制对贵司原材料采购和产品制造过程中是否产生影响,谢谢董秘回答(北京君正):您好!目前对我们没有影响。谢谢!投资者 问 捷佳伟创:董秘你好:贵公司的半导体设备是否能替代日本对我国的半导体设备封锁和限制。董秘回答(捷佳伟创):您好!公司开展半导体湿法清洗类设备研发,清洗类设备在经营制造、光刻、刻蚀、沉积等关键制程及封装工艺中均为必要环节,可实现清洗类设备国产化,打破长期被进口设备卡脖子的局面,极大的提高国产设备市场占有率,为公司进入高阶半导体设备行业奠定基础。此外,公司开展的碳化硅高温退火炉/氧化炉研发项目完成后将促进大尺寸基片碳化硅器件制造设备的研制,实现碳化硅器件制造设备的国产化。谢谢!投资者 问 凯格精机:最近,欧美及日本政府制裁我国半导体设备,对本公司半导体设备关键零部件引进,是否对公司正常生产造成大的影响?董秘回答(凯格精机):您好!公司不受上述事项影响,感谢您的关注!投资者 问 TCL科技:近日日本拟加入围堵中国半导体制裁队伍,考虑到半导体显示面板,公司好多材料来自日本,当时日本也制裁过韩国面板企业,请问公司是否考虑开始扶持日本以外的面板材料供应商,确保日本不会加入对话制裁队伍,导致公司主营业务受影响,公司应该联合深圳市、中国科研机构,为面板材料国产化努力,确保不被日本制裁,谢谢董秘回答(TCL科技):您好!目前显示产业链国内配套建厂体系成熟,本地化供应比例较高并持续提升趋势明确,公司也会在保障经营稳定的情况下加快提升供应链的国产化占比。感谢您对公司的关注。投资者 问 康拓红外:请问 日本限制23种半导体设备材料出口,是否会对公司业务构成影响?董秘回答(康拓红外):尊敬的投资者您好!感谢您的关注!国际局势变动未给公司业务带来影响。投资者 问 万润股份:日本限制半导体制造材料出口后,贵公司对日企销售的光刻胶单体及光刻胶树脂会不会受到影响?谢谢。董秘回答(万润股份):您好,公司目前出口日本的业务未受到影响。感谢关注。投资者 问 旷达科技:董秘你好,目前日本已经正式发布出台对半导体设备出口的管制,请问对公司将来的采购设备有没有影响?合肥的工厂目前进展是否顺利?董秘回答(旷达科技):本次日本半导体设备出口管制对芯投微设备采购没有影响。芯投微设备采购计划已大部分完成下单。投资者 问 国风新材:董秘先生,鉴于日本也加入了限制半导体设备和材料的行列,国产光刻胶替代迫在眉睫,请问贵司的光刻胶项目预计什么时间可以落地,该项目公司有哪些优势,能否突破卡脖子现状,希望董秘可以把该项目的进展情况详细些告知广大投资者,谢谢!董秘回答(国风新材):尊敬的投资者,您好!目前项目研发正在有序推进中,真诚感谢您的关注和支持!祝您投资顺利!投资者 问 高新发展:董秘好,日本政府正式出台半导体制造设备出口管制措施,而公司募投项目核心设备主要从日本进口,请问管制措施对公司功率半导体项目的影响程度如何?谢谢。董秘回答(高新发展):您好,感谢您对公司的关注。据了解,此次日本政府出台的半导体制造设备出口管制措施主要针对先进制程。经与各设备供应商进行确认,芯未半导体所订购设备不在限制范围内。同时,芯未半导体产线建设所需核心设备均已完成采购合同的签订,按计划将陆续到货,预计在2023年12月底前全部完成交付。因此,日本政府出台的半导体制造设备出口管制措施,目前对公司芯未半导体建设无影响。谢谢!投资者 问 机器人:赵董秘,您好!3月31日,日本经济产业省宣布,计划加强对6大类23种高性能半导体制造设备出口管制。近日,中国半导体协会也就此次管制发出了严正声明,此次管制范围过于宽泛,对我国半导体相关企业造成很大困扰。新松公司及子公司是否有能力生产被限制的6大类23种高性能半导体制造设备中的设备,进行国产替代?董秘回答(机器人):您好,公司半导体装备业务产品主要为自主研发的真空机械手及集束型设备,包括:大气机械手、真空机械手等系列产品、EFEM、真空传输平台,主要应用在刻蚀、CVD、PVD、CMP、Descum、立式炉等工艺环节及领域,服务的下游行业是半导体工艺设备厂商。公司始终以产业安全,实现自主可控为目标,是国内较早能做到半导体机械手产品产业化的公司。目前,公司半导体制造产品并不直接与日本本次管制名单相关,相关产品也并不依赖日本进口。感谢您的关注。投资者 问 江丰电子:请问3月31日日本经济产业省宣布,将修改《外汇和外贸法》配套行政实施条例,加强对6大类23 种高性能半导体制造设备出口管制。贵公司是否存在生产经营必须的半导体原材料和半导体制造设备唯一依赖日本进口情况?设备和原材料境外供应商是否有国产替代备选供应商预案?董秘回答(江丰电子):您好!公司生产使用的关键设备以自主研发为主,主要联合国内设备厂商设计定制。同时,公司将持续努力构建安全稳定的供应链。感谢您的关注!投资者 问 维信诺:日本对中国半导体设备出口管制对公司有影响吗?董秘回答(维信诺):感谢您的关注。公司一直致力于强化上下游产业链的协同创新,不断提升和推进技术和材料的国产化进程。未来公司将持续努力推进材料、设备等国产化,扩大战略合作布局,建立可靠稳定的供应链保障体系,降低生产成本,确保供应安全可控。谢谢!投资者 问 杭氧股份:近期,日本政府限制向我国出口23种商品,其中包含高纯度氮气、高纯度氧气、高纯度氩气、高纯度氦气、高纯度氢气、高纯度氯气、高纯度氟等气体商品,请问公司有无相关产品?若有,哪些品种能国产替代,现有销量如何?谢谢董秘董秘回答(杭氧股份):您好,公司正积极拓展半导体相关气体领域,目前可以为半导体行业提供氧气、氮气、氩气以及部分特种气体。谢谢。投资者 问 北方华创:日本对国内14纳米以下先进制程进行封锁,公司有无可以替代的产品?或者公司有无14纳米以下的先进制程董秘回答(北方华创):您好,公司可为集成电路领域提供各种技术代的工艺设备。感谢关注!投资者 问 智立方:日本半导体出口限制,对公司有何影响?国产替代么?董秘回答(智立方):尊敬的投资者,您好。公司目前经营正常。公司半导体设备布局主要集中在显示类半导体(mini-led、micro-led)、分选机设备、AOI类设备;光通类(激光、高功率芯片为例),包括排巴机、AOI设备。公司将持续投入研发资源,加速推进国产替代进程。感谢关注。投资者 问 至纯科技:日本发布的半导体设备出口限制措施对贵公司是否有重大影响?是否会对从日本进口的重要零部件产生阻碍?甚至无法获得?董秘回答(至纯科技) :日本对半导体设备的限制措施中,其中对湿法清洗设备的限制对公司无影响,甚至有可能会加速国内下游厂商采购国产化设备的步伐,对除湿法清洗设备外的其他设备的限制可能会对公司业务产生间接影响,目前尚不确定。公司设备类部分零部件从日韩进口,公司已在国内寻找并培养可替代的国产零部件供应商,今年国产二供的比例争取进一步提升。投资者 问 英唐智控:美日荷半导体制裁,公司产品出口到国内会受限吗?董秘回答(英唐智控):尊敬的投资者,您好!目前,公司采购及销售产品的进出口情况正常,公司将持续努力构建安全稳定的供应链。感谢您的关注。投资者 问 京东方:考虑到日本最近加入对中国芯片的制裁,考虑到公司面板材料有一部分来自日本,考虑到日本不是一个稳定供应商,请问公司是否联合国内面板厂商,优先采购友好国家的供应链?董秘回答(京东方):您好!公司高度重视供应链安全建设,与全球数千家供应商保持着良好的合作关系,并积极推动原材料的本地化、国产化,以降低原材料成本和供应链风险。同时,公司在产业链方面持续积极布局,以促进产业链更好可持续发展。目前,公司已通过自身及产业基金助力数十家上游材料、设备伙伴快速健康成长。谢谢!
  • 焜腾红外推出全系列T2SL制冷红外探测器,全面覆盖中波和长波多种面阵规格
    ——记HOT T2SL Ⅱ类超晶格探测器量产第三年 致力于Ⅱ类超晶格制冷型红外探测器产业化的焜腾红外,在过去三年已经完成Ⅱ类超晶格红外探测器工程化批产超千支。尽管已是焜腾红外120k高工作温度制冷型探测器实现量产的第三年,但是在技术迭代和产品开发方面,焜腾红外却从未止步。在稳定批产的同时,焜腾红外也在逐步发力150k制冷探测器的批量生产以及长波Ⅱ类超晶格制冷型探测器的工程化工作。现阶段已经研发出温度更高(160K)、面阵更大(2Kx2K)、重量更轻(260 g)、波长更长(12 μm)、寿命更久(45000小时)的Ⅱ类超晶格制冷型红外探测器,全面覆盖中波和长波多种面阵规格。 经过技术研发人员过去三年的持续努力,焜腾红外现已研制出适用于不同场景和应用条件的多种T2SLⅡ类超晶格探测器。仅重量方面就已经研制出550 g、350 g、和260 g三种规格,其中重量仅重260 g的探测器其芯片的工作温度已经能达到150K,部分甚至可达160K的芯片工作温度。在制冷机的配置上,除了旋转式斯特林制冷机外,还可以根据客户需求搭配线性制冷机,以实现挥发性有机化合物(VOCs)气体在线泄漏检测系统应用高达45000小时的寿命的特殊需求。除了重量和制冷机配置上可以适配用户的不同需求外,焜腾红外在探测器面阵上也已经可以做到2Kx2K,覆盖范围除中波之外,也已研制出最长波长达12 μm的长波探测器。焜腾红外能为广大客户提供多种阵列规格和响应波长的产品,分别为320x256、640x512、1280x1024以及2048x2048,其光谱响应范围涵盖3.2 μm – 3.5 μm、3 μm – 5 μm、7.5 μm – 9.5 μm及10.3 μm – 10.7 μm多个波段,基本上实现了中波和长波全规格探测器的技术供应。 焜腾红外的技术研发路线集中于深耕Ⅱ类超晶格制冷红外探测器这一新型探测器技术路线,研制出并生产覆盖中长波的Ⅱ类超晶格制冷型红外探测器,下一步的研发方向将会向着更长波发力,以及研发覆盖波段更全、应用范围更广、在有害气体检测方面能检测到更多气体种类的II类超晶格探测器。除了现有生产基地之外,焜腾红外在嘉兴的新厂(占地35亩的焜腾光电芯片产业园项目)已经结顶并即将落成投入使用,届时该产业园将会成为国内最具竞争力的覆盖Ⅲ-V族化合物半导体制冷型芯片与探测器组件及VCSEL芯片的重要基地,预计达产后年产红外探测器一万支,最终实现国产化探测器的全规格批产。 在第24届中国国际光电博览会中(9月6-8日),焜腾红外将携自研和生产的各类探测器、探测器组件和VCSEL芯片亮相,展位在深圳国际会展中心(宝安新馆)CIOE红外技术及应用8号馆8B023,欢迎各位莅临展位进行洽谈合作!本次展会展品介绍V340红外热成像气体泄漏检测仪V340红外热成像气体泄漏检测仪是一款针对VOCs的非接触式泄漏检测设备,产品工作波段为3.2 µm – 3.5 µm,可检测甲烷、乙醇、汽油、苯等400余种VOCs气体或挥发性液体的微小泄漏。机载式VOCs气体泄漏可视化巡检系统U-330机载式VOCs气体泄漏可视化巡检系统U-330应用于甲烷及其他VOCs的泄漏检测,整套系统由大疆M300RTK无人机搭载吊舱式VOCs气体泄漏红外成像仪D330组成。在线式VOCs气体泄漏可视化检测系统M330在线式VOCs气体泄漏可视化检测系统M330应用于甲烷及其他VOCs的泄漏检测。探测终端内采用高灵敏度320x256高工作温度的二类超晶格中波制冷红外焦平面探测器、通过有线网络可实时观测VOCs气体泄漏状态的双光图像,系统适用于工业领域VOCs气体泄漏的实时在线监测。
  • 盛美半导体发布首台应用于化合物半导体制造中晶圆级封装和电镀应用的电镀设备
    作为半导体制造与先进晶圆级封装领域中领先的设备供应商,盛美半导体设备8月31日发布了新产品——Ultra ECP GIII电镀设备,以支持化合物半导体(SiC, GaN)和砷化镓(GaAs) 晶圆级封装。该系列设备还能将金(Au)镀到背面深孔工艺中,具有更好的均匀性和台阶覆盖率。Ultra ECP GIII还配备了全自动平台,支持6英寸平边和V型槽晶圆的批量工艺,同时结合了盛美半导体的第二阳极和高速栅板技术,可实现最佳性能。盛美半导体设备董事长王晖表示:“随着电动汽车、5G通信、RF和AI应用的强劲需求,化合物半导体市场正在蓬勃发展。一直以来,化合物半导体制造工艺的自动化水平有限,并且受到产量的限制。此外,大多数电镀工艺均采用均匀性较差的垂直式电镀设备进行。盛美新研发的Ultra ECP GIII水平式电镀设备克服了这两个困难,以满足化合物半导体不断提升的产量和先进性能需求。”盛美的Ultra ECP GIII设备通过两项技术来实现性能优势:盛美半导体的第二阳极和高速栅板技术。第二阳极技术可通过有效调整晶圆级电镀性能,克服电场分布差异造成的问题,以实现卓越的均匀性控制。它可以应用于优化晶圆边缘区域图形和V型槽区域,并实现3%以内的电镀均匀性。盛美的高速栅板技术可达到更强的搅拌效果,以强化传质,从而显著改善深孔工艺中的台阶覆盖率,同时提升的步骤覆盖率可降低金薄膜厚度,从而为客户节约成本。盛美半导体的Ultra ECP GIII已取得来自中国化合物半导体制造商的两个订单。第一台订单设备采用第二阳极技术的铜-镍-锡-镀银模块,且集成真空预湿腔体和后道清洗腔体,应用于晶圆级封装,已于上月交付。第二台订单设备适用于镀金系统,将于今年下一季度交付客户端。
  • Retsch高能球磨仪Emax机械合金法制备半导体合金
    文章摘要: 机械合金化(Mechanical Alloying,简称MA)是指金属或合金粉末在高能球磨机中通过粉末颗粒与磨球之间长时间激烈地冲击、碰撞,使粉末颗粒反复产生冷焊、断裂,导致粉末颗粒中原子扩散,从而获得合金化粉末的一种粉末制备技术。本文以硅锗合金和碲化铋半导体材料合金化制备实验为例,介绍了高能球磨仪Emax的使用方法和技术优势,对合金样品制备的应用有借鉴作用。 传统方法制备不锈钢类合金要求高温下进行熔融,如果需求量很小抑或无法熔融,机械合金法就是一个很好的替代方法,传统上会用行星式球磨仪来完成。上世纪60年代末,美国国际镍公司用机械合金法第一次制备成功耐高温镍铁合金并以此申请专利。机械合金研磨需要有强劲的动能把固体粉末结合在一起,行星式球磨仪产生的高能撞击可以提供所需能量。在研磨球的撞击和挤压下,细粉颗粒会发生塑性形变并且焊合在一起。所以机械合金法可以弥补传统高温熔融无法制备的样品的不足,并且可以制备更大自由度混合比的样品。热电合金材料硅(Si)和锗(Ge)都是最通用常见半导体材料—是光电电池和晶体管产业的基石。硅锗合金材料性质如带隙可以由改变硅和锗混合比例来调整。热电合金材料用于制造航天热偶发电机,保证了空间探索和试验设备的动力供应。在商用热电材料领域,碲化铋(Bi2Te3)因其热电效能转化率高,是研究最多的材料,被用来做半导体制冷元件。 高能球磨仪EmaxEmax的转速能达到每分钟2000转,特殊设计的跑道型研磨罐可以产出更大的粉碎能。结合了高速撞击力和密集摩擦力,高能球磨仪的强劲能量输入可以做快速纳米研磨实验和机械合金应用。跑道型的研磨罐和偏心轮运动方式,有效保证了样品的混合,样品最后不仅可以磨得很细,粒度分布范围也会变很窄。内置水冷管路可以快速带走样品子啊研磨中产生的热量,保护样品免受过高温度影响,从而可以不像行星式球磨仪一样需要间歇停转,大大提高研磨工作效率。如果有更严格的控温需要,Emax还可以外接冷水机,进一步降低研磨温度(最低工作温度不能低于5摄氏度)。 图1:研磨前样品XRD 分析结果 Si(红)Ge(绿)整个扫描范围从10-60°,可以看出Si和Ge晶面特征峰。图2:研磨5小时后XRD分析结果 可以看出晶面特征峰已经偏移和合并,机械合金化已有效果图3:研磨5,8,9小时后XRD分析结果 晶面特征峰值会有所变窄和迁移,显示5-6小时的反应后机械合金反应已经基本完成原来硅和锗的机械合金化反应用是用行星式球磨仪进行的,但是会有很多问题导致结果不尽如人意。行星式球磨仪需要至少80分钟才能把样品处理到可以进行机械合金化的初始细度,接下来即使用中低转速400转/分也会导致样品在研磨罐中结块,无法使用其全部能量来进行机械合金反应。另一个问题是研磨罐过热需要间歇,在整个13小时的反应时间中需要额外加入至少90分钟停止时间。而高能球磨仪Emax自带水冷功能,高速运行也无需间歇,没有样品结块的现象,同时还大大提高了反应效率。 图4: 图 5:Bi和Te机械合金反应 1小时后XRD分析结果 图4为球料比10:1 (体积比)图5为球料比5:1(体积比) 机械合金法制备硅锗合金硅锗合金比为SI 3.63克 Ge2.36克,用50ml碳化钨研磨罐,10mm碳化钨研磨球8个(球料比10:1)。硅料和锗料的原始尺寸为1-25mm和4mm。2000转/分20分钟后,样品已经微粉化无结块现象。接下来1200转/分 9个小时(每隔1小时中间间歇1分钟后反转样品以避免样品结块)。机械合金反应前20分钟样品做了XRD定性和定量分析,Si和Ge的特征峰值都可以很清晰地辨认出来,说明碳化钨球几乎没有产生摩擦效应。在整个反应过程中合金始终保持微粉化,Emax的温度没有超过30℃。经过9个小时的反应后,整个样品基本消除了不定形态,呈微晶状态。机械合金法制备碲化铋研究不同球料比(10:1或5:1)对反应的影响,50ml 不锈钢研磨罐, 10mm不锈钢研磨球 10个。 球料比10:1的罐子中加入2.09克Bi和1.91克Te。 球料比5:1的罐子中加入4.18克Bi和3.83克Te。800转/分 70分钟(每10分钟间歇1分钟并反转),结果做了XRD分析。在经过近1小时机械合金研磨,Bi和Te的特征峰都有明显可辨的偏移,显示化合物Bi2Te3开始形成。球料比10:1的样品形成速度比5:1的更快,因为5:1样品中Te的特征峰值强度更大,说明10:1样品中的Te反应地更多。合金反应继续1200转/分3小时后,没有样品结块。和原来用混合研磨仪1200转/分 6.5小时制备相比,高能球磨仪Emax只需要2-3个小时候就能轻松完成任务。
  • 港媒:长江存储以国产半导体制造设备挑战先进NAND制造
    香港英文报纸《South China Morning Star》4月23日报道,中国最大的NAND供应商长江存储科技(YMTC),为了对抗美国对华半导体出口限制,计划使用中国制造的半导体装置生产尖端NAND产品。据此,YMTC向中国最大的半导体设备制造商北方华创(Naura Technology)、面向5nm工艺的尖端蚀刻装置的中微半导体设备(AMEC)等下了大量制造设备订单,并即将正式恢复3D NAND的生产。 该报分析称,如果YMTC国产化项目取得成功,中国半导体将找到自给自足的突破口,美国的制裁将失去力量。2022年,长江存储领先竞争对手成功研发出232层3D NAND,但由于美国更严格的监管,它不再能够从美国进口半导体制造设备。 因此,长江存储实施了重组,包括裁员,并暂停了生产线的建设,导致半导体行业猜测该公司将在2024年后从先进的NAND市场消失。陷入困境的YMTC在2023年初从3家中国国营投资公司获得了70亿美元的投资,以此为基础,打算用专有技术和中国制造半导体装置东山再起。至于趋势,该公司很有可能暂时生产传统的3D NAND并积累经验,之后重新开始生产尖端3D NAND。华为因被列入美国商务部实体名单而难以购买美国制造的半导体,它还从美国境外招聘工艺、设备和材料工程师,包括日本人,并资助中国半导体制造设备制造商。 也有传言称未来有可能建造一个量产工厂,但没有透露细节。除了YMTC,中芯国际和华为也瞄准了中国自给自足的半导体制造,中国的半导体制造设备制造商正在经历繁荣。 例如,2022年北方华创和中微半导体设备的销售额似乎分别比2017年增长了6倍和5倍,在需求旺盛的背景下,北方华创正在北京建设一条新的生产线,目标是在2024年开始运营。在今天的中国,有许多回到美国的工程师在英特尔等设备制造商和应用材料公司、泛林集团等设备制造商积累了经验,通过从日本招聘各种半导体工程师,国内的技术水平逐年提高,美国越是收紧对中国的半导体法规,就越有人担心,中国的自给自足可能会得到促进。
  • 盛美半导体设备收到全球主要半导体制造商的兆声波清洗设备DEMO订单
    作为半导体制造与先进封装领域领先的晶圆工艺解决方案供应商盛美半导体设备(ACM)宣布,已收到全球主要半导体制造商的Ultra C SAPS前道清洗设备的DEMO订单。预计该设备将于 2022 年一季度在客户位于中国地区的工厂进行安装调试。“这个订单表明盛美有很大机会赢得该全球性半导体公司在华工厂的信任,”盛美半导体设备董事长王晖博士表示,“这家制造商选择评估盛美的 SAPS 技术,旨在提升其研发能力和生产工艺能力。我们相信,这台设备成功通过评估后,我们与这家客户以及该区域内的其他主要客户会有更多的业务与合作机会。”盛美的专利空间交变相位移 (SAPS™ )晶圆清洗技术,运用了兆声波的交替相位变化以控制兆声波发生器与晶圆之间的间距。与先前的兆声波晶圆清洗系统所采用的固定式兆声波发生器不同,SAPS 技术在晶圆旋转时会往复移动,因而即使晶圆有翘曲,所有点接收到的兆声波能量也是均匀的。SAPS 工艺的清洗效率比传统兆声波清洗工艺高,不会造成额外的材料损耗,也不会影响晶圆表面粗糙度。该设备兼容了无损兆声波清洗功能,对结构性图形清洗表现更好。现已证明,对19纳米及以下的小颗粒均有显著清洗效果。
  • 日媒:7月23日起将实施先进半导体制造设备等23项商品出口限制
    日本经济产业省(经产相)5月23日公布了《关于根据出口贸易管理令附表第一及外汇令附表的规定修改部分规定货物或技术的省令等的修改概要》的文件。根据外汇及外国贸易法(外汇法)对货物等省令进行了修改,正式决定将至今为止要求公开评论的尖端半导体制造装置等23个品种添加到出口管理的限制对象中。经过两个月的周知期,将于2023年7月23日施行。日本根据外汇法,限制武器等面向军事能转用的民生品的出口,并且增加了尖端半导体制造相关的23品种。出口需要经产省事先的许可,但如果判断为有面向军事的危险,预计不会得到许可。经济产业省虽然说没有将特定的国家和地区指定为限制对象,但是追加的23个品种除了面向友好国家等42个国家和地区以外,需要个别许可,实际上对不包含在其中的中国的出口是极其困难的。新成为出口管理对象的23个品种(来源:经济产业省)中国商务部就日本的出口限制表示:“破坏日中两国的经贸合作关系以及两国的企业利益,影响产业供应链的稳定。中国有权采取应对措施,保护国家的正当权益。”这是一种不惜对日本采取报复措施的架势。中国的半导体业界认为,经济产业省此次强化限制,可能会比美国的半导体出口限制更大的打击,有可能强化EUV曝光装置等半导体制造装置的国产化措施。经产省在2019年对韩国的半导体素材出口手续严格化的时候,在韩国的EUV抗蚀剂和氟化氢的国产化或者日美企业的引进前进了的事在半导体业界中也引人注目,不过,可以说与那个相似的运动在中国也有可能发生的事态。受此政府的影响,预计面向中国的半导体制造装置出口将减少的国内大型半导体制造装置制造商东京电子和SCREEN等的股价都在下跌。附件:経産省が半導体製造装置など23品目の輸出を2023年7月より規制、その中身を読み解く.docx
  • 糟糕!美拟扩大对华半导体制裁
    5月10日,硅谷知名科技媒体《The Information》报道称,美国商务部正在考虑扩大对中国企业出售芯片制造原材料及设备的禁令。5月11日,一位不愿具名的行业专家表示,这种情况发生的可能性很大。“美国看到了芯片产业的重要性,在当前背景下,一定会关注对于国内的影响和管控,这个事儿已经很明确了… … 后续美国还可能会采取限制外资在中国建厂,加强对芯片设备软件控制等措施。”《The Information》在报道中称,美商务部正在征询美国相关企业的意见,禁令可能在几个月内起草完成。该禁令将限制美国原材料和设备供应商向中国公司供货,将针对包括华虹半导体、长鑫存储和长江存储在内的中国半导体公司,同时,在中国大陆设厂的海外企业可能也因此被波及。同时,美国也可能说服日本、荷兰等半导体设备商采取类似规则,确保中国公司无法向其他国家购买设备。报道提到了韩国的SK海力士、德国的英飞凌、荷兰的恩智浦半导体和美国的德州仪器等,称其将面临与中国公司相同的出口管制规则。众所周知,这几家海外公司,在全球汽车通用半导体供应体系中扮演了至关重要的角色。知情人士透露,美国商务部希望制定一套类似于适用中芯国际的规则限制其他半导体企业——也就是允许这些公司继续生产大制程、工艺老旧的芯片,但严格限制其获得先进制程的工艺和设备的可能性。美国商务部发言人表示,目前无法就禁令是否已经在计划中发表评论,并说会不断评估半导体技术的控制措施。与此同时,美国立法部门正讨论一项预算为520亿美元(约合3483亿元人民币)的计划,其中包括吸引芯片企业在美国建厂。
  • 重磅!日本正式出台半导体制造设备出口管制措施,商务部回应
    据商务部5月23日消息,商务部新闻发言人就日本正式出台半导体制造设备出口管制措施事答记者问。有记者问:5月23日,日本政府正式出台半导体制造设备出口管制措施。中方对此有何评论?答:我们注意到,日本政府正式出台针对23种半导体制造设备的出口管制措施,这是对出口管制措施的滥用,是对自由贸易和国际经贸规则的严重背离,中方对此坚决反对。在日方措施公开征求意见期间,中国产业界纷纷向日本政府提交评论意见,多家行业协会公开发表声明反对日方举措,一些日本行业团体和企业也以各种方式表达了对未来不确定性的担忧。但令人遗憾的是,日方公布的措施未回应业界合理诉求,将严重损害中日两国企业利益,严重损害中日经贸合作关系,破坏全球半导体产业格局,冲击产业链供应链安全和稳定。日方应从维护国际经贸规则及中日经贸合作出发,立即纠正错误做法,避免有关举措阻碍两国半导体行业正常合作和发展,切实维护全球半导体产业链供应链稳定。中方将保留采取措施的权利,坚决维护自身合法权益。据了解,在今年3月31日,日本政府宣布,为防止半导体技术外流,将23类半导体生产相关设备新增为出口管控对象。23类对象设备的出口限制地为全球,基于当下美国对华愈发严重的管控形势,日本对部分国家和地区(包括中国大陆)的出口手续将会更加复杂。4月8日,商务部新闻发言人表示,半导体是高度全球化的产业,经过数十年发展,已形成你中有我、我中有你的产业格局,这是市场规律和企业选择共同作用的结果。一段时间以来,个别国家频频泛化国家安全概念,滥用出口管制措施,持续加强对华半导体等产业打压,搞物项断供、技术封锁,人为割裂全球半导体市场,严重背离自由贸易原则和多边贸易规则,严重违反世贸组织规定的基本义务,严重冲击全球产业链供应链稳定。中国已向世贸组织提起诉讼。日方拟议的有关措施,本质上是在个别国家胁迫下对华实施的加害行为,不仅损害中国企业的正当合法权益,也会让日本企业蒙受损失,损人害己,也损害全球供应链的稳定。中国是世界最大的半导体市场,也是日本半导体制造设备的最大出口目的地,两国业界长期形成了产业链上下游紧密融合关系。当前,日方相关措施正在面向社会公众征求意见。我们希望日方听取理性声音,从维护规则、自身及中日双边利益出发,及时纠正错误做法,推动中日两国经贸关系健康发展,与各方一道共同维护全球半导体产业链供应链稳定。如日方执意人为阻碍中日半导体产业合作,中方将采取果断措施,坚决维护自身合法权益。
  • 雪景科技推出全球首款无需制冷剂的商业化热调制器
    全二维气相色谱(comprehensive two-dimensional GC, or GC×GC)作为一种全新的色谱分离手段,具有分离能力强,峰容量大,定性有规律等优点。目前已经开始应用在石油化工、环境监测、天然产物分析、食品卫生、生物医药等行业,是复杂样品和痕量样品分析的强大武器。全二维色谱最核心的部件调制器可分为气流式调制器(flow modulator)和热调制器(thermal modulator)。相比气流式调制器,热调制器调制性能更加优异,而且可以直接连接质谱,是当前最主流的调制技术。市场上的热调制器普遍采用气流喷射调制方式,利用液氮或压缩空气以及热空气对色谱炉膛内的调制色谱柱进行冷却和加热,附属设备较多,运行和维护费用较高。加上居高不下的系统价格,使全二维气相色谱技术目前仅限于一些高端实验室和较前沿的科研应用,难以向广大中低端用户和常规检测普及。  雪景科技经过多年的研发,成功推出了全球首款采用半导体制冷元件的商业化固态热调制器(SSM),使全二维气相色谱(GC×GC)彻底摆脱了液氮和其他制冷剂的使用。独特的机械和热管理设计保证了产品与目前主流热调制器相当的调制性能。其小巧的结构和方便的操作极大地简化了GC×GC技术的使用难度和运营成本。由于采用了模块化设计,用户可以方便地将该调制器安装到任意气相色谱平台上,配合专业的全二维色谱数据处理软件,将常规的一维气相色谱升级成全二维气相色谱系统,极大提高现有系统对复杂样品的分析能力。另外,由于该热调制器体积小巧能耗低,可以和其他在线式或者便携式色谱进行联用甚至集成,第一次实现全二维气相色谱在在线监测和野外分析中的应用,为我国日益增长的环境、食品和化工检测需求提供一种全新的技术手段。固态热调制器  雪景科技是一家致力于推广和普及全二维气相色谱技术的公司。主要产品包括全二维气相色谱调制器、全二维色谱数据处理软件、以及全二维气相色谱系统构建和维护、应用解决方案和技术支持等。全二维气相色谱系统
  • 打造半导体材料精准研究仪器
    每个人都想拥有“充电五分钟,通话两小时”的理想手机。而这个简单的“理想”却代表着对电子产品中半导体材料和器件性能的极致追求。手机里芯片快速数据运算过程和电池能量存储过程都发生在只有头发丝直径万分之一的纳米尺度下,而这些过程会影响到产品的工作稳定性和服役寿命。  研制高效绿色能源器件和低功耗信息功能器件,亟待从纳米尺度深入研究和理解材料结构与器件性能之间的构效关系,阐明纳尺度多场耦合条件下材料的性能退化与损伤机理、器件的功能退化与失效机理。  近年来,围绕这一前沿基础科学问题,北京科技大学材料科学与工程学院教授、中国科学院院士张跃团队在国家重大科研仪器研制项目(自由申请)的支持下,开展了“纳尺度多场耦合效应的原位表征系统”研制工作。  日前,项目顺利通过结题验收,该仪器的成功研制,将实现对半导体材料在服役过程中各项指标的原位监测。研制过程中,科学家率先实现了在超高真空、极低温、多波段光谱入射采集、纳牛级应力精确加载等条件下,材料微观原子结构、界面能带结构、器件电学性能的跨尺度原位表征,填补了纳尺度多场耦合原位表征技术的空白。张跃院士(中)、张铮教授(左)与项目组成员在工作中。(研究团队供图)  以国家需求为牵引  在材料科学领域,材料的服役行为研究并不陌生,包括澄清服役环境中材料的损伤机理、认识其损伤动力学过程、评价工程结构的安全性与服役寿命、发展延长寿命的技术等。  过去几十年来,研究者已经在金属材料体系中建立起成熟的材料服役研究和表征方法,监测其服役过程中的各项指标,从而对材料的服役可靠性进行精准判断。  但是,针对半导体材料服役行为的研究却面临较大挑战。据研究人员介绍,一方面,随着芯片集成度提升,半导体器件中材料越来越小,如今单个晶体管核心结构单元的尺寸小于10纳米 另一方面,随着可穿戴设备和便携设备的发展,半导体材料与器件的工作环境越来越复杂,冷热交替、潮湿、弯折与柔性等环境都对材料和器件有了更加严苛的要求。他们意识到,建立能在多场耦合服役条件下针对低维半导体材料的结构和性能演变规律进行原位研究的方法与技术手段,对研制下一代半导体材料与器件尤为重要。  与此同时,国家未来发展对于下一代半导体材料与器件也有重大现实需求。在这样的背景下,研究团队决定自主研制一台新仪器,以在纳尺度多场耦合效应下进行半导体材料和器件性能调控与服役行为原位科学研究。  2016年,在国家自然科学基金资助下,国家重大科研仪器研制项目(自由申请)“纳尺度多场耦合效应的原位表征系统”正式立项。  研究人员向《中国科学报》介绍,研制这一新仪器的难点在于,通过多物理场耦合模拟半导体材料和器件的实际工作条件,从纳尺度原位揭示半导体材料与器件界面载流子输运行为与调控规律。同时,通过材料结构和界面的精细设计,提高半导体器件性能,设计构筑低功耗、高性能的半导体器件,研究建立纳尺度下半导体材料与器件的服役行为研究方法与评价标准。  “研以致用”的实践精神  项目执行的5年中,研究团队坚持设计、制造、研究、验证协同的全链条研究思想,直面建立微纳尺度结构与宏观器件性能之间的关联性这一重大挑战,陆续攻克和解决了多项关键科学和技术难题。  据了解,科研团队始终坚持“研以致用”的工作作风,以应用为导向开展科学研究。  “材料研究不能仅仅停留在‘测一条曲线’上,坚持往前多想一步、争取多做一步,是我们团队多年养成的研究习惯。”项目团队成员、北京科技大学材料科学与工程学院教授廖庆亮介绍说。  正是在“多一步”思想的指引下,研究团队周密布局、仔细论证、充分验证,把每一分钱都花在刀刃上。项目启动之初,研究团队就组建了由业内顶尖专家组成的咨询组,严格把关项目进度和研制方案。  同时,他们走访了30多家国内外生产表征仪器设备的企业和机构,寻求从科学研究到实际应用的经验。同时,充分发挥现有研究平台的作用,遵循模块化设计理念,分模块、分功能逐一验证,陆续研制了多台套功能验证装置,逐个测试了装置和功能的可行性、验证方案的合理性,最终经过多轮论证,形成了系统的研究方案。  具体而言,根据这套设备的目标,研究团队设计了超高真空低温探针、共聚焦激光耦合、设备监控与信号测量等三个子系统。  其中,“超高真空多功能低温探针”“多通道样品台和多功能探针联动耦合系统”“下沉式杜瓦和针阀制冷系统”“三段调节的共聚焦耦合光路”等多项国际领先的“黑科技”,把各项指标做到了极限。  “设备的真空水平实现了10-8Pa的超高真空,温度达到4K的极低温环境,可以模拟太空中地月之间的真空和温度水平,为开展空天探测用半导体材料与器件研究提供了良好的研究平台。”项目团队成员、北京科技大学材料科学与工程学院教授张铮介绍。  此外,该团队还格外注重设备研制的通用性。据了解,这套系统能够广泛应用于能源、电子、传感等领域的功能器件在多场耦合条件下关键结构单元的演变规律和性能调控方法的研究。  用“工匠精神”死磕  “要做世界领先、功能完备、性能最优先的设备,而不仅仅是完成指标要求。”项目启动之初,团队就立下了“军令状”。  为了让先进的科研仪器能够真正用起来,研究团队除了要提出原创的科学思想外,还要直面许多工程和技术难题。为此,他们在可借鉴经验较少的情况下,以兢兢业业的“工匠精神”“死磕”这些难题。  项目团队成员、北京科技大学材料科学与工程学院教授康卓介绍,要表征电子输运行为如何受到外加物理场耦合的影响,必须同时布局力、热、光、电等多个外加物理场的发生装置及相应的表征与测量装置。而在狭小空间中,多个装置互相干扰问题、光路与探针的空间分配问题、超高真空中装置稳定与兼容问题等是最大挑战。为此,项目组通过设计下沉式的制冷装置、多通道样品台和多功能探针系统,巧妙地解决了多路信号同时采集的问题。  摆在项目组面前的另一个重大挑战是光路的耦合问题。在对材料进行光学表征时,需要将一束激光打到材料表面,让其发生变化,然后形成反射光。从科学原理上,要求这两束光走一条严格准直的光路,最终从激光源发出、经样品反射、到达探测器上,才能实现表征。  “对于我们的设备而言,在被检测材料所处的环境中,一束激光需要穿过10个反射镜组成的光路调节镜组、1个直径为1.5厘米的石英玻璃窗口、3个垂直排列的直径小于1厘米的屏蔽舱顶盖,最后准确抵达直径为0.5厘米的物镜后焦面光心,整个光路距离将近1米。在这个距离实现两束激光的准直,就好像一个神枪手在打靶,光路每偏转0.1度都会导致入射和反射光出现巨大偏差。”康卓介绍说。  为了解决这一问题,项目组前后设计了十余套解决方案,从全手动目视调节到成像辅助调节,设计了水平自由度的精确位置控制平台,建立了分步调节方法,最终完美解决了光路耦合的重大问题。  2020年项目接近尾声,突遇新冠疫情袭来,研制进度受到了严重影响。为了赶工期,研究团队的老师和学生们加班加点。“早上一大早就来跟设备‘报到’,晚上深更半夜才回家睡个觉,基本上日夜都和设备呆在一起。”张铮和同事开玩笑,“这台设备就是我的‘孩子’。”  在研究团队的共同努力下,该设备最终按期完成任务指标要求。在2021年5月国家自然科学基金委工程与材料科学部组织的结题验收中,专家组一致认为该项目“全面完成计划,研究工作取得突出进展”,综合评价为“优秀”。  面向未来,研究团队表示,目前的设备仅是1.0版本,在调试和使用的过程中,设备从系统、软件、硬件等多方面将不断简化、优化,经过几年时间的调试,有望达到成果转化需求。  他们期待,该设备能为研制低功耗晶体管、高效率光电转换器件、自驱动可穿戴人工智能传感器件等提供技术支撑。
  • HORIBA |“光谱技术在半导体领域中的应用”Q&A集锦——拉曼、椭圆偏振、光学光谱
    10月30日HORIBA举办了2017 Optical School系列在线讲座第五场——光谱技术在半导体领域中的应用,涉及:拉曼、椭圆偏振、光学光谱和辉光放电,四种光学光谱技术,为大家带来满满的知识技能包。课上同学们积留言互动,那么针对这三种光学光谱技术,大家都有哪些疑问呢,我们一起来看一看。光学光谱1. 什么是CCD TE制冷?CCD探测器的制冷方式一般分为两种:热电制冷(TE)和液氮制冷(LN2)。热电制冷就是通过帕尔贴效应,将热量从芯片带走;液氮制冷是通过液氮气化吸收热量来降低温度。2. 5K和10K的低温是怎么实现的。采用低温恒温器,闭循环低温恒温器或消耗液氦型低温恒温器可以实现5K和10K的低温,将样品放置在低温恒温器中测量。3. PL Mapping测量的是什么?相对宏观测试而言,微观尺寸的光致发光光谱更能表征样品的性质,并且能够展现更多的细节信息,在进行显微测量时,我们对整个样品表面进行扫描,得到所有测量点的光致发光光谱,这个过程称为Mapping。4. MicOS的PL和拉曼光谱仪测试的PL谱是一样的吗?原理上是一样的,都属于光致发光光谱,区别在于:MicOS光谱仪所采用的光谱仪焦距长度跟拉曼光谱仪不一样,光谱分辨率也不一样;拉曼光谱仪主要是为了拉曼测试而设计,它的探测器CCD通常覆盖到1000nm左右,有些型号的拉曼光谱仪不能拓展光谱范围到近红外波段,而MicOS可以灵活方便地拓展光谱范围从紫外到近红外(200-1600nm)。5. 激光测试固体光谱时需要滤光片吗?推荐加滤光片,因为激发激光的能量很强,激发样品的同时,部分激发光会通过反射与信号光一起进入探测系统,可能产生杂散光,为了避免干扰,建议加入滤光片将激发光滤除。因为信号光能量较低,波长比激发光长,所以只需要加入截止波长在激发光和信号光之间的滤光片即可。此外,如果激发光的二级衍射光与信号光波长重叠的话,那么也需要加入滤光片将激发光波长滤除从而消除激发光的二级衍射光。6. 这里的PL发光和寿命测量与荧光光谱仪测得荧光光谱和寿命有什么区别?荧光也是一种光致发光,但是荧光光谱仪通常用氙灯作为激发光源,能量比较低,对于宽带隙材料可能无能为力,定制化光致发光系统用激光作为激发光源,可以成功激发大部分样品。此处提到的寿命测试功能与HORIBA荧光光谱仪的寿命功能原理相同,并无区别,不过MicOS中测量荧光寿命是在显微下测量的,而荧光光谱仪通常是在宏观光路中测量的。7. 使用光纤导入光谱仪(iHR550)时,狭缝的宽度对分辨率还会有影响吗?采用光纤导入信号光到iHR550光谱仪时,一般会采用光纤适配器将光纤连接到光谱仪,此时狭缝宽度对光谱分辨率的影响需要分两种情况讨论:(1)如果光纤出来的信号光光斑通过光纤适配器耦合到光谱仪狭缝上是小于狭缝宽度,那么狭缝宽度的变化对光谱分辨率无影响;(2)如果光纤出来的信号光光斑通过光纤适配器耦合到光谱仪狭缝上是大于狭缝宽度,那么狭缝宽度的变化对光谱分辨率有影响,狭缝越大分光谱分辨率越低。8. 光栅的刻线密度怎么去选择?光栅刻线密度的选择主要考虑两个因素:分辨率和光谱范围。相同焦长光谱仪配置的光栅刻线密度越高,光谱分辨率越高,但是所能使用的长波长范围越窄;光栅刻线密度越低,光谱分辨率越低,但是低刻线密度光栅能覆盖的长波长越长;所以要综合平衡考虑,一块光栅覆盖范围不够可以选择多块光栅以拓展光谱范围。9. MicOS激光照射到样品上的光强和光斑大小?MicOS的激光光斑照射到样品上的光强与所采用的激光器功率大小相关,所采用激光器功率越高照射到样品的光强越大。激光照射到样品的光斑大小与耦合方式(光纤耦合还是自由光路耦合)以及所采用的物镜倍率相关,如采用100倍物镜,采用光纤耦合激光,光斑小于10um;采用自由光路耦合激光,光斑小于2um。拉曼光谱1. 用532nm激光测试的深度为多少?(实验中测试不到厚度为100nm薄膜的Raman光谱)总体来说,入射深度与激光器的波长和材料本身消光系数相关。激光越偏红光,其入射深度越深;消光系数越小,入射深度越深。所以,532 nm针对不同材料的入射深度不一样,一般来说,对单晶硅的入射深度约为1微米。厚度不到100 nm的薄膜需要考虑使用325 nm激光器检测。2. 老师,实际测试比如石墨烯,532,633,785测试D,G,2D频移和相对强度都不一样,这是什么原因呢?可以考虑的原因:三个激光器是否校准好;激光器的能量是否合适,是否某一个激光能量过高将样品破坏。一般石墨烯测试,激光能量的选择建议从低到高尝试;考虑机理方面解释,激光和样品的是否有耦合效应。墨烯测试,推荐532 nm激光器。3. HORIBA提供拉曼与SEM联用的改装服务吗?我们实验室对这个比较干兴趣,想了解一下我们的电镜可不可以改装?国内和国外都有已经完成的案例。若有需求,请进一步联系!4. 我们处理拉曼光谱的时候有时候要使用归一化的方法,这个对结果分析会有影响吗?归一化一般不会对结果分析产生影响。归一化操作是对光谱中所有的拉曼峰等比例的放大和缩小,不会影响峰的位置和形状。若还有担心,可以考虑提高光谱的信噪比。5. 半高宽和强度是怎么成像的?若使用的是Labspec 6软件,至少有两种成像方法可以实现半高宽和强度成像。夹峰法:用线夹住需要成像的峰,在Analysis中,进入 Map characterization中选择对应的Height, area, position, width进行成像。分峰拟合法:对所需成像的峰进行分峰拟合后,直接选择各参数成像。夹峰法,目前多同时可以做三个峰的成像;分峰拟合理论上可以实现所有峰的成像。6. 如何用325nm激光器测拉曼光谱,PL和BPF这两块滤光片怎么用?使用325nm测试和其它的激光器测试类似,需要注意的是:激光器稳定半小时,软件中勾选紫外测试,使用紫外物镜,激光光斑进行聚焦。PL和BPF滤光片都是为了滤去激光器的等离子体线,PL和BPF分别针对测试PL和拉曼。7. 老师,做拉曼成像的时候勾选SWIFT,老是提示不兼容是怎么回事?可以考虑:是否工作在单窗口的模式下;成像区域的选择是否是长方形;控制盒上的开关是拨到SWIFT模式下。8. 100nm薄膜测试不到信号(532nm激发)答案见问题一。9. 老师,可不可以用显微共聚焦拉曼测重金属的浓度?重金属的浓度目前还没有用拉曼直接测试的好方法。但有间接的方法:加入指示剂,通过指示剂间接测试重金属的浓度;做成传感器(DNA/蛋白/小分子等为传感元件),以拉曼信号为输出。10. 老师您好,树脂样品532nm激光器基线上飘严重,降低hole值仍然,切换785nm后基线下飘,这个是荧光引起的吗,应如何调节或者加激光器呢?荧光背景干扰的可能性比较大。缩小Hole只能抑制荧光,不能消除荧光。建议先利用532 nm做个PL光谱看一看。降低激光能量;更换测量点;若荧光背景还是比较高,可以考虑选用紫外和更红外激光器试一试。椭圆偏振1. 请问在测试的时候起偏器不动但是检偏器旋转吗?在UVISEL系列椭偏仪中,起偏器和检偏器均保持固定,由相位调制器PEM起到调制偏振光的作用,没有机械转动的干扰,保证了仪器对椭偏角测试的高精度。2. 为什么可以测SIGe的组分?研究表明SiGe合金的含量与介电方程的实部有关,介电方程实部是通过椭偏仪分析得到的,因此在进行了大量标准样品与实部的关系推导后,可以根据未知含量样品的介电方程实部推算出合金含量。3. 要测试膜厚度,需要这个样品是透明的吗?样品可以是不透明的硅基底或透明的玻璃基底等,待测试薄膜需要是光学透明的,以便椭偏仪分析反射之后的偏振光信号。4. 不转怎么测椭偏角?UVISEL系列椭偏仪采用PEM相位调制技术,调制器虽然保持静止,但其内部光学元件的双光轴相位以50KHz高频发生变化,从而实现偏振光的调制。5. 椭偏仪的入射角是可调的吗?是固定几个值还是连接可调?入射角是连续可调的,但通常测试使用55-75度,主要与样品的布儒斯特角相近即可。例如,大多数半导体样品的布儒斯特角在70度附近,玻璃等样品在55度附近。6. 测SiGe的组分与测带隙宽度有关吗?没有7. 椭偏仪可以测不透明的样品吗?无法用肉眼判断样品是否光学透明,一般来说肉眼看到透明的样品,可透过可见光,而有些样品如SOI中的顶层硅薄膜,可见不透过,但仍然可以使用椭偏测试分析,因为其对近红外透过。8. 可以测碳纳米管吗?可以测试均匀的CNT薄膜,由于光斑大小限制不能测试单根纳米管9. 是相位调制器每变一下,收集一组光强吗?那请问相位改变一个周期内会采集多少组数据来计算psi 和delta。是的,通常8-16点HORIBA科学仪器事业部结合旗下具有近 200 多年发展历史的 Jobin Yvon 光学光谱技术,HORIBA Scientific 致力于为科研及工业用户提供先进的检测和分析工具及解决方案。如:光学光谱、分子光谱、元素分析、材料表征及表面分析等先进检测技术。今天HORIBA 的高品质科学仪器已经成为全球科研、各行业研发及质量控制的首选。
  • 闲聊半导体行业和芯片人的“黑话”(上)
    2000年入行,总觉得自己对“电镜”的认知再正常不过了,直到接触了半导体行业和芯片的量测,才知道在这个电镜的细分领域,早已是独立王国,“自成一体”了,这个“王国”的特征就是有了自己的“行话语言”;对于不太熟悉这些行话的半导体小白,一开始就跟听“黑话”没什么两样。从“正常“电镜人的讲话入手,带点粒子束显微仪器Charged Particle Microscopy和设备中的以电子束ebeam做光源的,可以做显微成像和显微分析,叫Microimaging & Microanalysis;这要是到了半导体的Fab就要讲“黑话”了:在线检测关键线宽Critical Dimension的电镜要叫“Metrology”,对应的专用设备叫“CD-SEM”;离线检测wafer缺陷的Defect要叫“Inspection”,对应的专用设备叫”EBI“;最后还要专门做最后的缺陷复检叫“Review”,对应的专用设备叫”Review-SEM“或”EBR“。这里提到的“Fab”里的是Fabrication的缩写,正常讲是"加工"或"制造"的意思,和Manufacture一样;到了半导体的Fab就特指“晶圆制造厂”了,就是制造集成电路IC Integrated Circuit的厂子,其中制程,又叫技术节点、或工艺节点Technology Node高的俗称就叫芯片了;所以我们经常听到的芯片,就是一种高制程的集成电路了;Fab就是这个制造流程的前道工序发生的地方,属于“Foundry”,就是我们常说的芯片代工厂了,也就是接受了客户委托,生产客户自有权利的芯片产品的厂子了;具体点说,就是客户提供光罩Mask,又叫光掩模,母版,交由Foundry来生产制造;造好了的wafer就叫Chip,再拿去切割Dicing、封装Packaging、和测试Testing,最后出来的最小销售单位就是芯片了;拥有芯片自主产权的公司Fabless,将成品出售给客户,并向Foundry支付代工费用;这种纯粹代工,不涉及销售的方式在国际间较通常的称呼就叫硅代工Silicon Foundry;而在另一端,只做设计和销售的公司不做Fab,所以叫Fabless,拥有芯片的IP Intellectual Property;或者你财大气粗,从芯片设计到制造、封装,直到最后销售,什么都包圆的,就叫IDM Integrated Device Manufacture了;简单可以理解为:Fabless+ Foundry=IDM。我们知道,晶圆和wafer是一码事,尚未被“刻”的原材料wafer黑话又叫“大硅片“;做半导体材料的各个分支里,把沙子变成硅单晶棒的工序,黑话叫”拉棒“,再磨外圆、切片、倒角、打磨、进扩散炉,做成12”,或8英寸或6 Inches的wafer,送进Foundry里的Fab厂;Foundry里有精密的各类前道加工设备,加上细心的作业,最后出来的Chip才能达到艺术品一样的品质;Fab在Foundry内部也叫"晶圆区",如进去"Fab"之前须穿上防尘衣,等等;拜登一行在520刚穿着西装参观了三星的Fab,让人大跌眼镜;行家的解读是至少三个意思:一是给LAM和KLA打了广告;二是AMAT总是妄想和TEL合并之后迁都荷兰,这次特意让AMAT一面都不漏,给它提个醒,敲打一下;三是在最先进的存储产线居然不穿净化服,肯定人走了之后要花时间重新除尘,如果不是摆拍,三星产能肯定受到影响,正好借机宣布下一轮涨价;一趟政治意味浓重的参观又带出了一堆“黑话”,这次的都跟半导体设备厂家有关:AMAT就是Applied Material,又叫应用材料;LAM是“Lam Research”,又叫泛林半导体;KLA就是“KLA-Tencor”,又叫科磊;TEL就是“Tokyo Electron Limited”,又叫东京电子。作为半路出家的半导体人,好不容易把上面这些“黑话”掰扯清楚了,哪知道拔出萝卜带出泥,一条“黑话”需要更多的来支撑,所以新的又来了一大堆;在上面提到的Fabless设计领域,有个充满“痞气”的黑话叫“流片”,又叫 TapeOut;实际指的就是芯片的“试生产”;就是说设计完集成电路以后,先生产几片几十片,只供测试用;如果测试通过,就照着这个样子开始大规模生产了;上面提到的Mask,可以叫光刻掩模版;如果把光刻芯片工序看作“印钞”,Mask就是印刷的模板,这个“母版”就是半导体制程中的“印钞”模具;制造一颗芯片要用到的Mask绝对不止一张,现在的高级制程很容易就超过20张的;简单理解就是基本每加一层堆栈就上了个光罩,20层堆栈Multi-Patterning的芯片很可能需要20张Mask,每一层刻蚀完成就换上一张Mask;值得一提的是,在换下一张的Mask曝光之前,就是芯片多层堆栈结构量测的节点;前面提过,量测的对象是关键线宽,又叫关键尺寸,这里最关键的尺寸是LG Length of Gate,也就是要必须用到CD-SEM的节点了;场效应晶体管FET Field Effect Transistor制程中率先需要被安排在最底层的的三极结构中,通过掺杂Doping,使源极Source电性与底材P-Si相反的,就是漏极Drain,“黑话”也叫汲极;源漏之间的栅极Gate,充当开关的作用,所以又叫闸极;Gate不能太宽,更怕太窄,是关键尺寸量测的大头。芯片的良率,又叫Yield,的好坏取决于关键线宽的准确度;慢着,“Yield”不是我们“正常人”熟悉的术语“产额”吗?比如大家耳熟能详的二次电子SE和背散射电子BSE的产额……;所以这里需要吐槽的是,半导体的“黑话”是可以粗暴“跨界”的。上面提到的更换Mask之间的CDSEM线上检测,半导体“黑话”叫AEI蚀刻后检查,即After Etching Inspection;这个工序发生在刻蚀制程中光阻PR去除前和去除后之间,分别对产品实施主检或抽样检查;目的有四:一是提高产品良率Yield,避免不良品外流;二是达到品质的一致性和制程的重复性;三是显示制程能力的指标;四是防止异常扩大,节省成本。通常AEI检查出来的不良品,非必要时很少做修改;因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加、生产成本增高,反而事与愿违,导致整体良率降低。再回来接着聊“流片”Tape out这个词,虽是“黑话”,但也不是能随便用的,往往在实验性生产和验证性生产中才用“流片”这个词;一般来说Tape out的模式有2大类:一类是多家拼一起的MPWMulti-Project Wafer,另一种是专用的全晶圆流片;前者因为成本低,一般实验流片用;后者成本高,一般用于批量生产。关于MPW,可以参考上海集成电路促进中心(ICC)的相关业务介绍:2010年1月21日,上海集成电路技术与产业促进中心就推出了这个“多项目晶圆”,也就是MPW服务了;可不要小看这个服务,MPW可以使流片费用降低九成以上;对广大的中小型Fabless初创企业是一大福音。这里顺便提一句,自从“川建国”同志到任之后,国内的Fabless公司一再蹿升,已经有了近万家了。下节我们接着聊些有趣的半导体“黑话”,我们会更加深入芯片制造的世界......
  • 芯片制造设备商前往印度建立基地,东京电子、应用材料等将参加新德里半导体展
    芯片制造设备行业正在转向在印度建立运营基地,因为在中美关系紧张的情况下,印度正成为有希望的替代国家/地区选择。国际半导体产业协会SEMI将于今年9月在新德里附近首次举办印度半导体展。该展会已在美国、日本、欧洲、中国台湾、韩国、中国大陆和东南亚举行。东京电子、Disco(迪斯科)、佳能、东京精密和大福(Daifuku)等日本公司计划参加。东京电子将展示用于晶圆沉积、涂层和其他芯片制造工艺前端步骤的设备。Disco预计将展示用于后端工艺的设备,如研磨和切割晶圆以形成芯片。来自美国的应用材料、泛林集团和KLA(科磊)也将有大型展位。由于对水电等基础设施的担忧,印度尚未吸引许多半导体制造厂或晶圆厂。该国在芯片设备市场的份额被认为不到1%,与中国大陆34%的市场份额相比差距很大。然而,近年来,由于中美关系紧张,国际供应链已开始向其他地区转移。苹果正在将iPhone和其他产品的生产转移到印度。随着供应商涌向智能手机、个人电脑和其他成品生产地,分析师普遍认为印度市场将迎来强劲增长。印度企业集团塔塔集团计划在古吉拉特邦建造一座半导体工厂,技术由力积电提供。这很可能是印度第一家前端工艺芯片制造厂。“到2029年,印度将成为全球五大芯片生态系统之一,”印度电子和信息技术部长Ashwini Vaishnaw在3月份的奠基仪式上表示。该工厂计划于2026年开始运营,总投资将达到9100亿卢比(109亿美元)。将半导体加工成电子元件的后端工艺是劳动密集型的,因此许多公司都计划在劳动力成本较低的印度建厂。美国存储制造商美光科技也在古吉拉特邦建造一家工厂,计划于2024年开始运营。日本瑞萨电子已宣布计划与当地公司合作建厂。Counterpoint Technology Market Research调研机构表示,印度的半导体相关市场将在2026年达到640亿美元,几乎是2019年的三倍。SEMI也称赞印度是半导体制造和采购的有吸引力的地方。为迎接新芯片制造厂的到来,设备制造商开始开设工厂。东京电子已经建立营销基地。“对于需要集中供应商的半导体行业来说,印度是一个有吸引力的市场,可以期待技术创新和市场增长,”东京电子表示,还计划根据客户趋势扩大基地,预计前端设备的需求将增加。专门从事后端设备的Disco正在考虑建立一家当地子公司来处理销售和维护服务。Disco目前通过新加坡子公司覆盖印度市场,但随着后端工厂的激增,将需要建立当地基地。“随着工厂建设项目的成型,我们将响应客户的要求。”Disco表示。日本测试设备制造商爱德万测试(Advantest)在2013年收购的一家印度软件开发商设有基地,正在开发与性能测试相关的软件。由于前端和后端工厂建设的预期,爱德万测试表示正在考虑在印度开设销售基地。佳能今年6月表示,为印度半导体行业做出贡献被视为增长支柱,并指出光刻机和其他设备的需求机会。在美国公司中,泛林集团于2022年在印度开设了具有简单开发功能的工程中心,以响应客户的要求。应用材料公司已表示计划投资4亿美元在印度建立开发中心。对于人口众多、创造就业机会具有挑战性的印度来说,启动尖端产业一直是长期以来的愿望。印度总理莫迪政府在2021年宣布将投资7600亿卢比支持半导体和液晶面板生产。然而,基础设施结构问题仍然存在。研究公司IDC负责人Crawford Del Prete表示,前端组装和测试流程极其复杂。他补充说,在工业基础设施到位之前,重点可能会放在建立涉及后端流程的公司集群上。
  • 非制冷势垒型InAsSb基高速中波红外探测器
    高速响应的中波红外探测器在自由空间光通信和频率梳光谱学等新兴领域的需求逐渐增加。中长波XBₙn势垒型红外光探测器对暗电流等散粒噪声具有抑制作用。近期,由中国科学院半导体研究所、昆明物理研究所、中国科学院大学和陆装驻重庆军代局驻昆明地区第一军代室组成的科研团队在《红外与毫米波学报》期刊上发表了以“非制冷势垒型InAsSb基高速中波红外探测器”为主题的文章。该文章第一作者为贾春阳,通讯作者为赵俊总工程师和张逸韵研究员。本工作制备了不同直径的nBn和pBn结构的中波InAsSb/AlAsSb红外接地-信号-接地(GSG)探测器。对制备的探测器进行了变温暗电流特性,结电容特性和室温射频响应特性的表征。材料生长、器件制备和测试通过固态源分子束外延装置在2英寸的n型Te-GaSb衬底上外延生长nBn和pBn器件。势垒型器件的生长过程如下所示:先在衬底上生长GaSb缓冲层来平整表面以及减少应力和位错,接着生长重掺杂(10¹⁸ cm⁻³)n型InAsSb接触层,然后生长2.5 μm厚的非故意掺杂(10¹⁵ cm⁻³)InAsSb体材料吸收层。之后生长了150 nm厚的AlAsSb/AlSb数字合金电子势垒层,通过插入超薄的AlSb层实现了吸收区和势垒层的价带偏移的显著减少,有助于空穴向接触电极的传输,同时有效阻止电子以减小暗电流。最后分别生长300 nm厚的重掺杂(10¹⁸ cm⁻³)n型InAsSb和p型GaSb接触层用于形成nBn和pBn器件结构。其中,Si和Be分别被用作n型和p型掺杂源。生长后,通过原子力显微镜(D3100,Veeco,USA)和高分辨X射线衍射仪(Bede D1,United Kingdom)对晶片进行表征以确保获得高质量的材料质量。通过激光划片将2英寸的外延片划裂为1×1 cm²的样片。样片经过标准工艺处理,包括台面定义、钝化和金属蒸镀工艺,制成直径从10 μm到100 μm的圆形台面单管探测器。台面定义工艺包括通过电感耦合等离子体(ICP)和柠檬酸基混合溶液进行的干法刻蚀和湿法腐蚀工艺,以去除器件侧壁上的离子诱导损伤和表面态。器件的金属电极需要与射频探针进行耦合来测试器件的射频响应特性,因此包括三个电极分别为Ground(接地)、Signal(信号)和Ground,其中两个Ground电极相连,与下接触层形成欧姆接触,Signal电极与上接触层形成欧姆接触,如图1(c)和(f)所示。通过低温探针台和半导体参数分析仪(Keithley 4200,America)测试器件77 K-300 K范围的电学特性。器件的光学响应特性在之前的工作中介绍过,在300 K下光电探测器截止波长约为4.8 μm,与InAsSb吸收层的带隙一致。在300 K和反向偏置为450 mV时,饱和量子效率在55%-60%。通过探针台和频率响应范围10 MHz-67 GHz的矢量网络分析仪(Keysight PNA-XN5247B,America)对器件进行射频响应特性测试。结果与讨论材料质量表征图1(a)和(d)的X射线衍射谱结果显示,从左到右的谱线峰分别对应于InAsSb吸收层和GaSb缓冲层/衬底。其中,nBn和pBn外延片的InAsSb吸收区的峰值分别出现在60.69度和60.67度,GaSb衬底的峰值则出现在60.72度。因此,InAsSb吸收层与GaSb 衬底的晶格失配分别为-108 acsec和-180 acsec,符合预期,表明nBn和pBn器件的InAsSb吸收区和GaSb衬底几乎是晶格匹配的生长条件。因此,nBn和pBn外延片都具有良好的材料质量。原子力显微镜扫描的结果在图1的(b)和(e)中,显示出生长后的nBn和pBn外延片具有良好的表面形貌。在一个5×5 μm²的区域内,nBn和pBn外延片的均方根粗糙度分别为1.7 Å和2.1 Å。图1 (a)和(a)分别为nBn和pBn外延片的X射线衍射谱;(b)和(e)分别为nBn和pBn外延片的原子力显微扫描图;(c)和(f)分别为制备的圆形GSG探测器的光学照片和扫描电子照片器件的变温暗电流特性图2(a)显示了器件直径90 μm的nBn和pBn探测器单管芯片的温度依赖暗电流密度-电压曲线,通过在连接到Keithley 4200半导体参数分析仪的低温探针台上进行测量。图2(b)显示了件直径90 μm的nBn和pBn探测器在77 K-300 K下的微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线,温度下降的梯度(STEP)为25 K。图2(c)显示了在400 mV反向偏压下,nBn和pBn探测器表现出的从77 K到300 K的R₀A与温度倒数(1000/T)之间的关系,温度变化的梯度(STEP)为25 K。图2 从77K到300K温度下直径90 μm的nBn和pBn探测器单管芯片(a)暗电流密度-电压曲线;(b)微分电阻和器件面积的乘积R₀A随反向偏压的变化曲线;(c)R₀A随温度倒数变化曲线器件暗电流的尺寸效应由于势垒型红外探测器对于体内暗电流可以起到较好的抑制作用,因此研究人员关注与台面周长和面积有关的表面泄露暗电流,进一步抑制表面漏电流可以进一步提高探测器的工作性能。图3(a)显示了从20 μm到100 μm直径的nBn和pBn器件于室温工作的暗电流密度和电压关系,尺寸变化的梯度(STEP)为10 μm。图3(b)显示从20 μm-100 μm的nBn和pBn探测器的微分电阻和台面面积的乘积R₀A随反向偏压的变化曲线。图3(d)中pBn器件的相对平缓的拟合曲线说明了具有较高的侧壁电阻率,根据斜率的倒数计算出约为1.7×10⁴ Ωcm。图3 从20 μm到100 μm直径的nBn和pBn器件于室温下的(a)暗电流密度和电压变化曲线和(b)R₀A随反向偏压的变化曲线;(c)在400 mV反偏时,pBn和nBn器件R₀A随台面直径的变化;(d)(R₀A)⁻¹与周长对面积(P/A)变化曲线器件的结电容图4(a)显示了使用Keithley 4200 CV模块在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线,器件直径从20 μm到100 μm按照10 μm梯度(STEP)变化。对于势垒层完全耗尽的pBn探测器,预期器件电容将由AlAsSb/AlSb势垒层电容和InAsSb吸收区耗尽层电容的串联组合给出,其中包括势垒层和上接触层侧的InAsSb耗尽区。图4 (a)在室温下不同直径的nBn和pBn探测器的结电容随反向偏压的变化曲线;(b)反偏400 mV下结电容与台面直径的变化曲线。器件的射频响应特性通过Keysight PNA-X N5247B矢量网络分析仪、探针台和飞秒激光光源,在室温和0-3 V反向偏压下,对不同尺寸的nBn和pBn探测器在10 MHz至67 GHz之间进行了射频响应特性测试。根据图5推算出在3V反向偏压下的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的圆形nBn和pBn红外探测器的3 dB截止频率(f3dB)。势垒型探测器内部载流子输运过程类似光电导探测器,表面载流子寿命对响应速度会产生影响。图5 在300 K下施加-3V偏压的40 μm、50 μm、70 μm、80 μm、90 μm、100 μm直径的nBn和pBn探测器的归一化频率响应图图6 不同尺寸的nBn和pBn探测器(a)3 dB截止频率随反向偏压变化曲线;(b)在3 V反向偏压下的3 dB截止频率随台面直径变化曲线图6(a)展示了对不同尺寸的nBn和pBn探测器,在0-3 V反向偏压范围内的3 dB截止频率的结果。随着反向偏压的增大,不同尺寸的器件的3 dB带宽也随之增大。因此,在图6(a)中观察到在低反向偏压下nBn和pBn器件的响应较慢,nBn探测器的截止频率落在60 MHz-320 MHz之间而pBn探测器的截止频率落在70 MHz-750 MHz之间;随着施加偏压的增加,截止频率增加,nBn和pBn器件最高可以达到反向偏压3V下的2.02 GHz和2.62 GHz。pBn器件的响应速度相较于nBn器件提升了约29.7%。结论通过分子束外延法在锑化镓衬底上生长了两种势垒型结构nBn和pBn的InAsSb/AlAsSb/AlSb基中波红外光探测器,经过台面定义、工艺钝化工艺和金属蒸镀工艺制备了可用于射频响应特性测试的GSG探测器。XRD和AFM的结果表示两种结构的外延片都具有较好的晶体质量。探测器的暗电流测试结果表明,在室温和反向偏压400 mV工作时,直径90 μm的pBn器件相较于nBn器件表现出更低的暗电流密度0.145 A/cm²,说明了该器件在室温非制冷环境下表现出低噪声。不同台面直径的探测器的暗电流测试表明,pBn器件的表面电阻率约为1.7×10⁴ Ωcm,对照的nBn器件的表面电阻率为3.1×10³ Ωcm,而pBn和nBn的R₀A体积项的贡献分别为16.60 Ωcm²和5.27 Ωcm²。探测器的电容测试结果表明,可零偏压工作的pBn探测器具有完全耗尽的势垒层和部分耗尽的吸收区,nBn的吸收区也存在部分耗尽。探测器的射频响应特性表明,直径90 μm的pBn器件的响应速度在室温和3 V反向偏压下可达2.62 GHz,对照的nBn器件的响应速度仅为2.02 GHz,相比提升了约29.7%。初步实现了在中红外波段下可快速探测的室温非制冷势垒型光探测器,对室温中波高速红外探测器及光通讯模块提供技术路线参考。论文链接:http://journal.sitp.ac.cn/hwyhmb/hwyhmbcn/article/abstract/2023157
  • 打造半导体制造薄膜沉积设备领军企业 拓荆科技助力产业链发展
    目前的科创板上市公司中,大都是各自领域的“领跑者”,即将正式登陆科创板的拓荆科技股份有限公司(以下简称“拓荆科技”、“公司”)就是典型代表。  拓荆科技成立于2010年4月,是辽宁省及沈阳市重点培育的上市后备企业和中国半导体设备五强企业,主要从事高端半导体专用薄膜沉积设备的研发、生产以及技术服务,产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,是目前国内唯一一家产业化应用的集成电路PECVD、SACVD设备厂商。公司产品已广泛应用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内晶圆厂14nm及以上制程集成电路制造产线,在不同种类芯片制造产线的多道工艺中得到商业化应用。同时已展开10nm及以下制程产品验证测试,在研产品已发往国际领先晶圆厂参与其先进制程工艺研发。  薄膜沉积设备技术门槛高,研发难度大。拓荆科技立足自主创新,先后承担多项国家重大科技专项课题,在半导体薄膜沉积设备领域积累了多项研发及产业化的核心技术,并达到国际先进水平。其中,公司先进的薄膜工艺设备设计技术、反应模块架构布局技术、半导体制造系统高产能平台技术等核心技术,不仅解决了半导体制造中纳米级厚度薄膜均匀一致性、薄膜表面颗粒数量少、快速成膜、设备产能稳定高速等关键难题,还在保证实现薄膜工艺性能的同时,提升客户产线产能,减少客户产线的生产成本。  拓荆科技的产品已基本全面实现了我国芯片制造产业在介质薄膜沉积设备领域摆脱对海外厂商的依赖,补强了我国在集成电路产业链关键环节的实力,为我国建立芯片体系贡献力量。  公司聚焦的半导体薄膜沉积设备与光刻机、刻蚀机共同构成芯片制造三大主设备。拓荆科技经过十多年的技术积累,已形成覆盖二十余种工艺型号的薄膜沉积设备产品,可以适配国内最先进的28/14nm逻辑芯片、19/17nm DRAM芯片和64/128层3D NAND FLASH晶圆制造产线,满足下游集成电路制造客户对于不同材料、不同芯片结构薄膜沉积工序的设备需求。其中,PECVD设备已全面覆盖逻辑电路、DRAM存储、FLASH闪存集成电路制造各技术节点产线多种通用介质材料薄膜沉积工序,并研发了LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工艺,一举打破了薄膜沉积设备长时间被欧美和日本厂商垄断的局面。凭借长期技术研发和工艺积累,拓荆科技已经成为可与国际巨头直接竞争的半导体高端设备制造厂商。  作为注册制改革的“试验田”和定位于支持“硬科技”产业的融资板块,科创板成立近三年以来,基础制度不断完善,上市条件的包容度和适应性不断提升和增强,吸引了一大批硬科技企业选择到科创板发行融资,其中不乏大量尚未盈利、存在特殊股权结构的硬科技企业。在科创板这块“试验田”支持硬科技发展的示范引领作用下,拓荆科技选择到科创板发行股票上市获得融资支持,持续加大研发投入。  拓荆科技在科创板发行上市主要是为开展配适10nm以下制程的PECVD产品研发、开发Thermal ALD和大腔室PE ALD,以及升级SACVD设备,研发12英寸满足28nm以下制程工艺需要的SACVD设备募集社会资金,并借助募集资金开发中国台湾市场。在加强产品技术研发的同时,拓荆科技上市后,还将逐步培育和完善国内相关产业链,通过与国内供应商的深度合作与磨合,推动设备关键部件的开发及验证,提高设备零部件的国产化率以及产品品质。同时,公司还将利用国产设备厂商的综合优势,为客户提供定向的技术开发与服务,以此助力半导体产业链发展,保障产业链的技术先进性。
  • 日媒:日本佳能公司宣布推出FPA-1200NZ2C纳米压印半导体制造设备
    在10月19日和20日举行的Canon EXPO 2023活动 上,佳能将10月13日刚刚宣布商业化的纳米压印半导体制造设备 FPA-1200NZ2C 放置在展厅入口附近。佳能FPA-1200NZ2C的1/1比例模型,FPA-1200NZ2C是一种纳米压印半导体制造系统,可用于先进工艺, 吸引了现场许多人的目光从宣布的那一刻起,该公司的纳米压印设备就受到广泛关注,尤其是那些半导体从业者。 据悉,该设备最初由美国Molecular Imprints开发,2014年被佳能收购,并持续开发,旨在将其应用于半导体制造。此次商业化的影响是巨大的,该公司董事长兼总裁兼首席执行官Fujio Mitarai也透露,自宣布以来,他收到了许多咨询,获得了高度关注。实现纳米压印的尖端工艺制造的环境控制技术FPA-1200NZ2C最重要的一点是,它是一种已经商业化的技术。该技术可以实现与5nm工艺相当的最小线宽(布线半间距)为14nm的图案生成,并且产量很高(还有望通过提高掩模精度和对准来实现相当于2nm工艺的工艺)。到目前为止,纳米压印在分辨率、对准精度、吞吐量和缺陷方面一直被认为不适合半导体制造。 其中,细微颗粒污染和对准精度尤其成问题。 特别是,在半导体洁净室中,颗粒对清洁度影响最强,但ISO标准是基于每立方米空气中存在多少 0.1 μm 或更多的颗粒。如果不注意小于标准的细颗粒的存在,就会出现缺陷,产量不会提高。2017年,佳能开始向东芝存储器(现铠侠)的四日市工厂供应这些产品,并开始验证其实际使用。在此过程中,他们发现了防止颗粒物进入实际将掩模(模板)压在晶圆上形成图案的工作站的问题并改进了环境控制技术,例如采用高精度过滤器和气幕作为减少颗粒的技术来减少缺陷。因此,似乎已经可以形成与5nm工艺相当的低缺陷图案,并且已经决定可以将其商业化。此外,通过采用对每次镜头进行对齐的逐个芯片方法(一般光刻设备是全局对准方法),以及利用通过改变激光束的热分布产生的晶圆热膨胀来高精度补偿底层电路图案的失真,通过混合和匹配,对准精度为4nm。但是,除了逐个模具的方法外,还需要以皮升顺序涂上喷墨头喷出的固化树脂(光刻胶),将掩模压在其上(控制皮升量级不会导致光刻胶突出),并用紫外线固化。假设吞吐量为一小时(最多可配置4个工作站,在这种情况下每小时80张)。ASML目前型号NXE:3600D的EUV光刻系统的吞吐量约为160张/小时,被认为是3nm工艺中的主要机器“NXE:3800E”的吞吐量约为220张/小时,虽然相差较大,功耗约为1/10,价格未公开,但EUV光刻设备的价格据说平均约为400亿日元。 由于纳米压印设备据说没有那么昂贵,因此在查看小批量、高混合需求的 TCO(总拥有成本)时,可能不会有太大差异。在 3D 封装中水平部署曝光技术除了FPA-1200NZ2C外,展厅还展出了FPA-5520iV LF2选件i射线光刻系统的模型。FPA-5520iV的目标是2.5D/3D封装的制造工艺。 前端工艺的光刻系统侧重于形成具有一定线宽的图案,而该系统则侧重于形成深孔图案的方向,因此线和空间(L/S)较粗,但焦深较深,其特点是能够挖掘3D IC所需的高纵横比沟槽。此外,通过将上一道工序中光刻设备中使用的非球面透镜应用于投影光学系统,畸变已减少到上一代的1/4以下,从而可以将更平滑的镜头拼接在一起。 此外,通过改进均匀照明光的均质器来提高照明光学系统的照度均匀性,该公司× 52 mm 和 68 mm 实现了 0.8 μm 的分辨率,并且通过将镜头以 2×2 的比例拼接在一起,即使在超过 100 mm × 100 mm 的曝光下也能提供 0.8 μm 的分辨率。使用FPA-5520iV LF2选项形成的300mm晶片。曝光尺寸为前工序标准视角的26mm×33mm和4次连续曝光的100mm×100mm此外,虽然没有实物,但FPA-5520iV的说明面板的一部分还描绘了“FPA-8000iW”,它可以处理515mm×510mm的大型方形基板(玻璃),同时是相同的i-line光刻设备。 它是以3D封装技术为中心的半导体器件未来发展所必需的技术。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制