当前位置: 仪器信息网 > 行业主题 > >

成套束光刻系统

仪器信息网成套束光刻系统专题为您提供2024年最新成套束光刻系统价格报价、厂家品牌的相关信息, 包括成套束光刻系统参数、型号等,不管是国产,还是进口品牌的成套束光刻系统您都可以在这里找到。 除此之外,仪器信息网还免费为您整合成套束光刻系统相关的耗材配件、试剂标物,还有成套束光刻系统相关的最新资讯、资料,以及成套束光刻系统相关的解决方案。

成套束光刻系统相关的资讯

  • “高安全成套专用控制装置及系统”斩获国家科技奖
    “人类生活的各个领域,都离不开自动控制技术。可以说,控制系统就是工业装备的‘大脑’。这个‘大脑’聪明不聪明,不仅直接决定了企业的生产规模,也直接决定了产品的质量和生产安全。”谈到最熟悉的“控制系统”,浙江大学控制科学与工程学院王文海研究员打了个形象的比喻。高安全成套专用控制装置及系统-项目应用照片  1月9日,王文海第二次站在了国家科技奖励大会的领奖台上。凭借“高安全成套专用控制装置及系统”,包括浙江大学、上海电气、上海三菱电梯、杭州优稳自动化、上海大学等在内的项目团队,斩获了2016年度国家科学技术进步奖二等奖。  “如果说,2013年得奖的‘高端控制装备及系统的设计开发平台研究与应用’专注的是通用控制系统领域,那么这次的项目则聚焦于专用控制设备领域,比如汽轮机控制、电梯控制等,”王文海告诉记者,“专用控制装置跟工业设备紧密耦合,成套、专用、软硬件高度集成,具有二次开发工作量小,实施效率高,高安全、高性能,高精度等特点”。  以电梯而言,通用控制技术可以在普通电梯上得到应用,但摩天大楼所需要的高速/超高速电梯在能量回馈、多机调动和紧急刹车上都有更高的要求,这时候就需要在通用平台的基础上深度耦合,即“挖的更深”,实现成套专用,及高安全与高性能。  据王文海介绍,上海三菱电梯与上海电气、浙江大学等开发的高速/超高速电梯成套专用控制系统的制动器寿命可达1800万次,是国内其他产品的9倍,其可靠性、舒适性、能效等性能指标在国内都具有领先水平,应用该控制系统的高速/超高速电梯已占据国产电梯的80%。  除此之外,项目团队还成功研制出超临界/超超临界汽轮机成套专用控制系统、超临界/超超临界直流炉成套专用控制系统,并广泛应用于脱硫、脱硝、除尘、污水处理等领域,产品出口美国、日本、韩国、俄罗斯等20多个国家。  而“挖的更深”,在同一领域不断发现新的问题、迎接新的挑战,也是王文海团队二十年来一贯奉行的理念。  “研究生阶段,我们发现很多企业的控制系统经常出毛病,我们最常去的就是企业,给他们解决各种问题”。王文海从1985年起就在浙江大学学习和工作,当时,我国大型企业的高端控制装备全部依赖进口,成为中国工业大而不强、受制于人的关键之“痛”。“这不单是一个成本问题,更隐含安全问题,于是在导师孙优贤院士的支持下,我们决定做一套自己的控制系统。”王文海说。  如果说当初走上研发控制系统这条路,王文海凭借的是激情,那么之后,他和他的团队靠的则是“沉得下去、足够专注”的韧性。王文海(左一)及团队在进行高安全成套控制装置及系统的运行实验  从上世纪90年代初第一套控制系统面世,到“全集成新一代工业自动化系统”,到“高端控制装备及系统的设计开发平台”,再到 “高安全成套专用控制装置及系统”,如同通讯领域的2G、3G到4G、5G,控制系统也在一代一代升级,每一个问题解决的同时,新的问题又冒出头来。  “刚开始并没有想象到事情这么复杂”王文海说。二十余年来,他们不仅把自己领域的边边角角都摸了个遍,还悉心钻研跨领域的其他相关知识,终于得以在控制系统这条路上越走越远、越钻越精。  “接下来这几年,您还会专注于控制系统的研发吗?”  “当然。我们就是专做控制系统,只做控制系统。”  当记者抛出这个问题时,王文海拿出了最新的计划书,“随着智能制造的升级,工业控制系统信息安全面临很大的挑战,这是我们接下来要解决的问题。”  “专做控制系统,只做控制系统”,这也是采访过程中王文海经常脱口而出的一句话。除了深厚扎实的专业基础,这种“一生只做一件事”的专注与执着,想必也是他和他的团队收获成功的法宝。
  • 再交付2套!小型台式无掩膜直写光刻系统顺利落户,无需掩膜版,更高效!
    近日,由英国科学院院士Russell Cowburn教授团队研制的小型台式无掩膜直写光刻系统(Durham Magneto Optics, MicroWriter ML3)分别落户中科院沈阳金属所和合肥师范学院,将助力国内各科研院所在新型材料加工、微纳电子、光机电、微流控等诸多重点研究领域取得近一步发展。小型台式无掩膜直写光刻系统(MicroWriter ML3)进入国内科研领域已有十年时间,在国内约有200台设备安装落户。凭借小巧紧凑的结构(70 cm x 70 cm x 70 cm)、友好的操作系统、简单的维护需求、超高的直写速度,特别是无需掩膜版即可直写曝光的特点极大地优化了设计成本和研究效率,深受广大科研用户的喜爱。在小型台式无掩膜直写光刻系统MicroWriter优秀表现和Quantum Design中国全博士售后工程师团队的努力下,清华大学、北京大学、中国科技大学、南京大学、复旦大学、中科院等重点高校和研究机构已复购多台小型台式无掩膜直写光刻系统MicroWriter,成为MicroWriter的“回头客”。图1中科院沈阳金属所安装的配备0.4 μm镜头的MicroWriter旗舰型无掩膜光刻机 近日,中科院沈阳金属所成功安装了第一套小型台式无掩膜直写光刻系统MicroWriter ML3。结合新硬件配置,该系统可以实现0.4 μm的极限分辨率,同时拥有包括0.4 μm、0.6 μm、1 μm、2 μm和5 μm五种特征分辨率镜头,可以实现不同精度下的快速曝光应用。结合无掩膜版图设计,科研人员可以随时尝试修改曝光图形,并可以通过设备特有的虚拟掩膜(Visual Mask aligner)功能实现实时对准观测(如图2所示),极大地提高了科研工作的时效性和便捷性。图2. (左)虚拟掩膜对准的实时界面(蓝色区域是要曝光的电极图案)及(右)终曝光显影结果图3. 0.6 μm宽度的线条阵列曝光结果及局部细节图4. 0.4 μm孔径的点阵曝光结果及局部细节同时,合肥师范学院根据自身教学与科研的需要选择了小型台式无掩膜直写光刻系统Baby Plus型号。相比于功能全面的MicroWriter旗舰机型,Baby Plus着重于客户的基本需求。Baby Plus配备有1 μm和5 μm两个精度的镜头,可以满足大部分的科研需求。图5. Quantum Design工程师为合肥师范学院师生进行无掩膜光刻机操作培训这次在合肥师范学院安装的MicroWriter Baby Plus配备的是405 nm波长光源,特别适用于在正性光刻胶上制备二维微纳结构和三维灰度结构,助力客户在微纳机电,微纳光学等领域的研究以及小批量的试产。Baby Plus也可升级成365 nm波长光源或365 nm-405 nm波长双光源,方便研究人员制备以负性光刻胶为主的结构,满足客户的各种需求。图6.左图为利用405nm光源制备的微纳电极图形,右图为三维灰度图形小型台式无掩膜直写光刻系统MicroWriter的广泛应用在助力国内科研发展的同时,也在全球其他知名单位获得持续应用和好评,包括斯坦福大学、东京大学、新加坡国立大学、伯克利大学(UC Berkeley)和美国航天局(NASA)等,证明了国内外研究单位对其广泛应用及可靠性的认可。
  • 长春光机所承担的国家科技重大专项项目“极紫外光刻关键技术研究”通过验收
    p  6月21日,“极大规模集成电路制造装备及成套工艺”国家科技重大专项(02专项)实施管理办公室组织专家在中国科学院长春光学精密机械与物理研究所召开了“极紫外光刻关键技术研究”项目验收会。评审专家组充分肯定了项目取得的一系列成果,一致同意项目通过验收,认为该项目的顺利实施将我国极紫外光刻技术研发向前推进了重要一步。/pp  极紫外(Extreme Ultraviolet,EUV)光刻是一种采用波长13.5nm极紫外光为工作波长的投影光刻技术,是传统光刻技术向更短波长的合理延伸。作为下一代光刻技术,被行业赋予拯救摩尔定律的使命。极紫外光刻光学技术代表了当前应用光学发展最高水平,作为前瞻性EUV光刻关键技术研究,项目指标要求高,技术难度大、瓶颈多,创新性高,同时国外技术封锁严重。/pp  长春光机所自上世纪九十年代起专注于EUV/X射线成像技术研究,着重开展了EUV光源、超光滑抛光技术、EUV多层膜及相关EUV成像技术研究,形成了极紫外光学的应用技术基础。2002年,研制国内第一套EUV光刻原理装置,实现了EUV光刻的原理性贯通。2008年国家“极大规模集成电路制造装备及成套工艺”科技重大专项将EUV光刻技术列为“32-22nm装备技术前瞻性研究”重要攻关任务。长春光机所作为牵头单位承担起了“极紫外光刻关键技术研究”项目研究工作,成员包括中科院光电技术研究所、中科院上海光学精密机械研究所、中科院微电子研究所、北京理工大学、哈尔滨工业大学、华中科技大学。/pp  项目研究团队历经八年的潜心钻研,突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。建立了较为完善的曝光光学系统关键技术研发平台,圆满完成国家重大专项部署的研究内容与任务目标,实现EUV 光学成像技术跨越,显著提升了我国极紫外光刻核心光学技术水平。同时,项目的实施形成了一支稳定的研究团队,为我国能够在下一代光刻技术领域实现可持续发展奠定坚实的技术与人才基础。/pp  验收会上,长春光机所所长贾平诚挚地感谢了与会专家及各合作单位对项目的大力支持。贾平指出从时机及技术难度方面考虑,EUV项目的布局正处于窗口期,希望国家给予持续稳定的支持。鼓励项目参研单位进一步发挥EUV学科优势,鼓足勇气并肩奋斗,在后续支持下取得更好的成果。/pp  02专项总体组技术总师、中科院微电子所所长叶甜春做总结发言。叶甜春强调,在国际上EUV光刻大生产基地已经建立的形势下,我国EUV光刻研究要继续坚持下去,面向未来产业工程化需求,着力点要放在必须掌握的核心技术和有可能取得创新的突破点。此外,叶甜春评价光刻机队伍是承担最核心、最高端、最艰巨任务的队伍,也是专项团队中最有战斗力、最能抗压、最值得信任的主力部队。鼓励项目团队肩负重大任务的责任与使命感,继续坚持勇攀高峰。/pp  02专项光刻机工程指挥部总指挥、前科技部副部长曹健林到会并致辞。作为国内最熟悉EUV光刻的领域专家,曹健林对我国EUV光刻技术能力的提升感到欣喜,他认为中国已初步具备光刻技术的研发能力,并向着产业化目标前进,30年前的“中国光刻梦”正在逐步变为现实,通过我国光刻技术研发能力的建设初步树立了坚持“中国光刻梦”的信心。/p
  • 南大光电完成国家科技重大专项,芯片光刻胶已验收
    7 月 29 日,南大光电官方发布公告:“关于公司承担的国家科技重大专项(02 专项)”已通过专家组验收。其中包括极大规模集成电路制造装备及成熟工艺、先进 7 纳米光刻胶产品开发与光刻胶供给链产业化。据南大光电披露,公司收到极大规模集成电路制造装备及成套工艺实践管理办公室下发的项目综合绩效评价结论书,公司作为牵头单位,承担的“极大规模集成电路制造装备及成套工艺”之光刻胶项目通过了专家组验收。据悉,光刻胶项目总体目标是开发高端集成电路制造用 ArF 干式与浸没式光刻胶成套工艺技术,形成规模化生产能力;构建与集成电路行业国际先进水平接轨的技术和管理人才团队等等。项目分为三个子课题,南大光电控股子公司宁波南大光电材料有限公司(以下简称宁波南大光电)承接了其中的“ArF 光刻胶产品的开发和产业化”课题。专家组评定后认为,通过项目的实施,宁波南大光电掌握了 ArF 干式和浸没式系列光刻胶产品的原材料制备、配胶、分析检测、应用验证等关键技术,在知识产权和人才培养等方面取得重要进展。形成了由 51 人组成的 ArF 光刻胶研发与生产管理团队,建成了 ArF 光刻胶产品的质量控制平台、年产 5 吨的干式 ArF 光刻胶及年产 20 吨的浸没式 ArF 光刻胶产业化生产线。实现 ArF 光刻胶产品销售,完成了任务合同书规定的主要考核指标。ArF 光刻胶材料是集成电路制造领域的关键材料,可以用于 90nm~14nm 甚至 7nm 技术节点的集成电路制造工艺,主要应用于高端芯片制造。目前我国在 ArF、KrF 光刻胶领域中的市场占比较少,全球大多数的光刻胶市场都被美国、日本垄断。需要注意的是,有关 7 纳米 ArF 光刻胶的应用,南大光电目前只是小规模投产,与之相关的生产线正在构建当中。在公告中,南大光电也表示,ArF 光刻胶的复杂性决定了其在稳定量产阶段仍然存在工艺上的诸多风险,不仅需要技术攻关,还需要在应用中进行工艺的改进、完善。同时,ArF 光刻胶产品国产化替代受品质、客户的严格要求,后续是否能取得下游客户的大批量订单,能否大规模进入市场仍存在较多的不确定性。这些都会影响 ArF 光刻胶的量产规模和经济效益。据了解,5 月末以来,南大光电公司股价累计涨幅超过 150%。
  • 国际领先!石科院配方原油成套技术鉴定通过
    近日,由石科院牵头、中国石化广州分公司参与研发的“配方原油技术及在原油资源优化中应用”项目通过中国石化科技部组织的技术鉴定。鉴定专家组一致认为,配方原油成套技术在原油分子组成和光谱拟合技术相结合用于配方原油计算方面达到国际领先水平。为什么需要配方原油技术?原油资源关乎国家能源安全和国民经济发展,原油资源的波动对炼厂的经济效益会产生很大的影响。我国石化企业加工原油的品种复杂,原料的频繁变化导致石化企业安全生产和提质增效无法得到有效保障。有的企业从装置运行一开始加工的原油就不是当初设计所用的原油,有的企业加工的合适原油供应不足或价格高企,还有企业加工的原油不是优化的目标原油… … 以上这些因素都会导致蒸馏装置进料性质的频繁变化,使得石化企业配套建设的装置很难按设计要求协调运转,影响企业的正常生产及整体加工效益的提升。配方原油技术可以针对性解决国内石化企业这一共性问题,提升企业经济效益。什么是配方原油技术?配方原油技术是采用先进计算方法,在原油评价数据库和原油近红外光谱库采集的大数据基础上,通过原油品种和数量的优化配伍,形成多种原油性质及加工性能与目标原油相似的原油调合配方供炼厂选择利用,从而达到稳定炼厂加工原油的目的。配方原油技术怎么发挥作用?石科院配方原油成套技术的先进算法既考虑原油宏观物性一致性,还注重原油相容性、炼制性能。同时,还可以利用自主开发的基于分子水平的油品调合规则、燃料油黏度预测模型、二次加工装置机理模型等技术,提升技术经济评价模型,全面评价配方原油的可加工性能以及对全厂加工效益的影响,优化确定可实际执行的原油配方。应用效果怎么样?目前,配方原油成套技术已在中国石化广州分公司成功应用。石科院利用该技术对广州分公司1#蒸馏装置实际加工的两种目标原油进行了配方设计,遴选出优质的配方原油,优化了催化原料和低硫船用燃料油生产。工业应用试验数据表明,实际加工的配方原油与目标原油相似度均超过0.9,馏分收率和性质相近。广州分公司长期应用的结果表明,配方原油技术满足装置对加工原油性质稳定的要求,同时经济效益显著。业界评价如何?在中国石化科技部组织的技术鉴定会上,鉴定专家组一致认为:配方原油成套技术配方原油技术在原油分子组成和光谱拟合技术相结合用于配方原油计算方面达到国际领先水平,首次提出了动态原油相似度的概念,并用于衡量配方原油与目标原油的定量化接近程度,具有自主知识产权,建议加快开展推广应用。
  • 泽攸精密携手松山湖材料实验室成功研制出电子束光刻系统
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程,而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。松山湖材料实验室精密仪器研发团队作为首批入驻实验室的团队之一,专注于材料和半导体领域的精密加工、表征和测量设备研发。团队负责人许智已从事相关研究近20年,参与承担多项国家重点研发计划专项工作及国家重大科研装备研制项目,近5年带领产业化团队研发的精密仪器成果转化填补多项国产空白,产值超亿元,产品出口美国、英国、德国、澳大利亚。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,朝着行业先进水平稳步前进。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。
  • 维普半导体:光刻机配套IRIS机台交付国内某光刻机客户
    维普半导体今日官微消息,7月1日,维普光刻机配套IRIS颗粒检测产品累计第5套顺利发机,交付国内某光刻机客户。IRIS模块-即集成掩模检测系统(Integrated Reticle Inspection System),是光刻机中的一个重要组成部分。其主要作用是对掩模版(即光罩)玻璃面、保护膜面颗粒进行检测,消除因颗粒污染导致光刻后批量Wafer的失效,从而保障半导体晶圆制造的准确性和稳定性。
  • 石科院web版原油快评成套技术达到国际领先水平
    近日,由石科院牵头研发、中国石化天津分公司、洛阳分公司参与实施的“Web版近红外光谱原油快评技术的开发与应用”项目通过集团公司科技部组织的技术鉴定。鉴定专家组一致认定,该技术在原油评价数据库和光谱技术相结合快速得到原油物性方面达到国际领先水平,可用于原油采购、原油调合、原油资源优化选择和利用等领域,对炼化企业科学制定生产加工方案、合理开展调度排产具有重要指导意义。01瞄准业界痛点随着我国进口原油品种和来源不断丰富,炼化企业加工的原油变化日趋频繁,如不能及时跟踪加工原油性质,将严重影响炼化企业常减压和二次加工装置的操作条件,进而对生产效益造成负面影响。目前业内普遍采用的传统原油评价技术时效性较差,难以满足炼化企业的实际生产需求。02深厚技术积淀石科院长期从事原油评价的基础工作,收集了近千种的不同原油样本,涵盖了世界各地原油品种及我国各大油田所产原油。在此基础上,石科院开展了一系列的原油快速评价研究,自主研发形成近红外光谱原油快评成套技术,可在三分钟之内提供完整的原油评价数据,极大提升了原油评价的时效性,受到业内的广泛认可。03创新迭代升级由于常规的原油快速评价技术的软件和数据库均为单机版设计,即配套的原油近红外光谱数据库、原油评价数据库均需安装在用户计算机中,导致其模型维护和数据库更新操作较为复杂。为满足炼化企业对原油快速分析的信息化升级需求,石科院在原有的近红外光谱原油快评技术基础上自主研制开发了web版近红外光谱原油快评技术,通过算法改进和光谱传递技术提升,进一步提升了原油快速评价的准确性和预测速度。石科院原油快速评价技术具备自主知识产权,申请专利31件,授权25件;获得3件软件著作权登记;发表国内核心期刊论文21篇,国外SCI论文10篇。04开展工业试验web版近红外光谱原油快评技术在中国石化天津分公司和洛阳分公司进行了工业应用试验,并“量体裁衣”建立了适合洛阳分公司与天津分公司的企业库。工业试验各项数据表明,通过对常加工的原油品种以及蒸馏装置进料进行快速分析,该技术显著节约了两家应用单位的原油评价和数据库维护成本,取得了很好的应用效果,解决了生产的燃眉之急。此后,石科院Web版原油快评成套技术顺利通过中国石化科技部组织的成果鉴定,鉴定专家组一致认为,技术在原油评价数据库和光谱技术相结合用于快速得到原油物性方面达到国际领先水平,可广泛用于原油采购、原油调合、原油资源优化选择和利用等领域,对炼化企业科学制定生产加工方案、合理开展调度排产具有重要指导意义。目前,石科院可为炼化企业提供涵盖原油快评、原油调合和配方原油等技术在内的一系列原油资源优化成套解决方案。未来石科院将持续开发更多智能化技术,帮助企业优化原油资源选择及调度,提升企业生产效益,保障装置“安稳长满优”运行。
  • 在克拉玛油田检修工作中南京科捷色谱成套设备获最高奖
    克拉玛依油田检修工作于6月中旬结束,在4月至6月两个月的工作期间,南京科捷分析仪器有限公司的色谱成套设备性能极佳,获得了最高奖;克拉玛依油田对我们的仪器设备评价极高,为此新疆电视台、克拉玛依电视台连续播放一周。
  • 一种有望替代电子束光刻的新技术
    目前光刻技术存在被美国“卡脖子”,不只是工业用的,包括科研用的电子束曝光机也只能购买到落后国外两三代的产品。而电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究,是半导体微电子制造及纳米科技的关键设备、基础设备。3D纳米结构高速直写机的技术起源光刻技术严重制约着我国半导体工业及科研领域的发展。近年来,一种基于热扫描探针光刻技术的产品3D纳米结构高速直写机有望替代电子束曝光机。3D纳米结构高速直写机(NanoFrazor)的主要技术起源于上世纪九十年代,由诺贝尔奖获得者Binnig教授在IBM Zurich实验室所主导的千足虫计划。该计划原本的目标是用类似原子力显微镜探针的热探针达到1Gb/s的高速数据存储读写。图1为千足虫计划中,制备的热探针的扫描电子显微图像。[1]图1. 千足虫计划所制备的热探针的扫描电子显微图像。[1]2010年后,研究团队逐渐把研究热点从数据的高速读写逐渐转向了扫描热探针用于高精度灰度光刻技术(t-SPL)。随着t-SPL技术的逐渐成熟,2014年推出了首款商业化高精度3D纳米结构高速直写机,NanoFrazor Explore 图2b)。为满足市场的不同需求,2017年推出台式系统NanoFrazor Scholar,图2a)。[2]图2 不同型号的NanoFrazor。a)为台式NanoFrazor Scholar系统,b)为旗舰型NanoFrazor Explore。[2]随后,于2019年无掩模激光直写系统被成功地整合到了旗舰型NanoFrazor Explore系统中,实现了在NanoFrazor中从微米加工到纳米加工的无缝衔接。有望替代电子束光刻技术目前NanoFrazor的技术主要用于科研院所的高端纳米器件制备,已有集成激光直写的系统以加快大尺寸大面积微纳米结构的刻写。由10根探针组成的探针阵列已经在Beta客户端测试中。在和IBM苏黎世的合作项目中已经开始了用于工业批量生产的全自动系统的原型设计。。NanoFrazor的优势体现在以下几个方面。首先,NanoFrazor是首款实现3D纳米结构直写的光刻设备,其垂直分辨率可高达1nm。因此,此设备不仅可以制备在2D方向上高分辨率复杂图案的无掩模刻写,还可以制备3D复杂纳米结构,例如复杂的光学傅里叶表面结构,图3所示。[3]图3,用NanoFrazor制备的光学傅里叶表面结构。[3]第二,由于NanoFrazor的光刻原理是通过热探针直接在热敏胶上进行刻写,与热探针接触的胶体部分被直接分解,与电子束曝光(EBL)技术相比所制备的图案不会被临近场效应所影响。因此使用t-SPL技术制备的器件,光刻胶可以被去除的非常干净,从而改善半导体材料和金属电极的接触情况,提高电子器件的性能。图4为NanoFrazor工艺中所用的热敏胶和EBL工艺中所用的光敏胶在去胶工艺后的光刻胶表面残留情况。[4]图4 采用t-SPL技术和EBL技术去胶后光刻胶表面残留对比,图中比例尺为500nm。[4]第三, 由于NanoFrazor所采用的的t-SPL光刻技术,避免了电子注入对材料的损伤,特别适合电子敏感类材料相关器件的制备。与此同时NanoFrazor针尖虽然温度很高,但是和样品的接触面积只有纳米尺度,所以样品表面不会受到高温影响,样品表面温度升高小于50度。第四,传统光刻技术中,需要通过显影才能观察到光刻图案。而使用t-SPL技术进行光刻时,热敏胶直接被热探针分解,然后再通过同步成像系统可以立即得到刻蚀图案的形貌。同时使用闭环控制刻写深度,保证纵向1nm的刻写精度。在实际使用中,可以对样品表面已有的微结构成像,实时设计套刻图案进行刻写,非常适合科学科研和新品研发。此外,相比于传统的电子束刻蚀等技术产品,NanoFrazor可以在常温常压环境中使用,维护简单费用低。其主要耗材为热探针,耗材费用将低于目前通用的电子束刻蚀系统的耗材维护费用。科研领域的得力干将目前情况来看,国内和国外的主要用户都集中在科研院所。这一特点在推广尚属早期的国内市场尤为突出。QD中国正在尽全力把NanoFrazor和相关技术介绍给中国区的用户。NanoFrazor在国内的高精度3D光刻领域暂无竞争对手,在2D光刻领域与EBL存在着某些重叠。NanoFrazor产于中立国瑞士,受国际政治影响较小。热敏胶由德国AllResist公司生产销售,热探针目前仍然由IBM苏黎世供应,计划明年由德国IMS公司生产提供,不存在卡脖子问题。凭借强大的性能,NanoFrazor帮助科研人员在多领域中取得了一系列优秀成果。在光学方面,苏黎世联邦理工的Nolan Lassaline等人使用NanoFrazor制备了周期性和非周期性的光学表面结构。[3] 制备的多元线性光栅允许利用傅里叶光谱工程精确调控光信号。实验表面,使用NanoFrazor制备的任意3D表面的方法,将为光学设备(生物传感器,激光器,超表面和调制器)以及光子学的新兴区域(拓扑结构,转换光学器件和半导体谷电子学)带来新的机遇。该论文已于2020年经发表于Nature。在电子学方面,纽约城市大学的Xiaorui Zheng等人利用NanoFrazor制备了基于MoS2的场效应管。[4] 他们的研究结果表明,使用t-SPL技术制备的器件很好地解决了困扰EBL工艺的非欧姆接触和高肖脱基势垒等问题。器件的综合电子学性能也远优于传统工艺所制备的器件。该论文于2019年发表于Nature Electronics。在3D微纳加工方面,IBM使用NanoFrazor制备的纳米微流控系统控制纳米颗粒的输运方向,并成功分离不同大小尺寸的纳米颗粒,直径相差1nm的纳米颗粒可以用此方法进行分离[6]。该方法可以用于分离样品中的病毒等纳米物体。该论文于2018年发表于Science。IBM苏黎世研究院的Pires等人利用NanoFrazor的3D加工工艺,成功地制备出了高度仅为25nm的瑞士最高峰马特宏峰,如图5所示。[5] 后经吉尼斯世界纪录认证为世界上最小的马特宏峰。优于新颖的加工工艺和优异的3D加工精度,该论文与2010年发表于Science。图5 利用NanoFrazor制备的高度仅有25nm的世界最小马特宏峰。[5]在二维材料研究方面,NanoFrazor的热探针可以直接用于二维材料的掺杂[7],切割[8]和应力调制[9],开创了二维材料器件制备的新方法。论文于2020年发表于Nature Communications, Advanced Materials和NanoLetters等期刊上。目前国内用户对NanoFrazor在实验上的表现十分满意,已有国内用户在Advanced Materials等顶级期刊发表文章。关于QUANTUM量子科学仪器QUANTUM量子科学仪器贸易(北京)有限公司(以下简称QDC)是世界知名的科学仪器制造商——美国 Quantum Design International 公司(以下简称QD Inc.)在全世界设立的诸多子公司之一。QD Inc.生产的 SQUID 磁学测量系统 (MPMS) 和材料综合物理性质测量系统 (PPMS) 已经成为世界公认的顶级测量平台,广泛的分布于世界上几乎所有材料、物理、化学、纳米等研究领域尖端的实验室。同时QD Inc.还利用自己遍布世界的专业营销和售后队伍打造一个代理分销网络,与世界其他领先的设备制造商合作,为其提供遍布全球的专业产品销售和售后服务网络,2007 年QD Inc.并购了欧洲最大的仪器分销商德国 LOT 公司,使得QD Inc.全球代理分销和售后网络变得更加完整和强大。由于在华业务的不断发展,QD Inc.于2004年在中国注册成立了全资中国子公司QDC。经过10多年的耕耘发展,目前QDC拥有一支高素质的科学技术服务队伍,其中技术销售及售后技术支持团队全部由硕士学历以上人才组成(其中近70%为博士学历),多年来为中国的顶级实验室和科研机构提供专业科学仪器设备、技术支持、以及科技咨询服务。这些优秀的雇员都曾被派往美国总部及欧美日等尖端科研仪器厂家进行专业系统的培训,经过公司十多年的培养,成为具有丰富的科学实验仪器应用经验的专家。他们为中国的研究机构带来了最尖端的产品和最新的科技动态,为中国科研人员的研究工作提供了强有力的支持。QDC作为引进先进技术设备进入中国的桥梁,靠着过硬的尖端产品、坚实的技术实力、一流的服务质量赢得了中国广大科研客户的赞誉。Quantum Design中国子公司还积极致力于发展与中国本地科学家的合作,并将先进的实验室技术通过技术转移进行商业化。目前Quantum Design中国子公司正立足于公司本部产品,积极致力于材料物理、纳米表征和测量技术、生物及生命科学技术领域的新业务。Quantum Design中国子公司已逐渐成为中国与世界进行先进技术、先进仪器交流的一个重要桥头堡。引用文献1. Eleftheriou, E., et al., Millipede-a MEMS-based scanning-probe data-storage system. IEEE transactions on magnetics, 2003. 39(2): p. 938-945.2. https://heidelberg-instruments.com/product/nanofrazor-explore/ .3. Lassaline, N., et al., Optical fourier surfaces. Nature, 2020. 582(7813): p. 506-510.4. Zheng, X., et al., Patterning metal contacts on monolayer MoS 2 with vanishing Schottky barriers using thermal nanolithography. Nature Electronics, 2019. 2(1): p. 17-25.5. Pires, D., et al., Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science, 2010. 328(5979): p. 732-735.6. Skaug et al., Nanofluidic rocking Brownian motors. Science, 2018. 359: p. 1505-1508.7. Zheng, X, et al., Spatial defects nanoengineering for bipolar conductivity in MoS2. Nature Communications, 2020. 11:3463.8. Liu, et al., Thermomechanical Nanocutting of 2D Materials. Advanced Materials.9. Liu, et al., Thermomechanical Nanostraining of Two-Dimensional Materials. NanoLetters.关注Quantum Design中国官方微信公众号,了解更多前沿资讯!(Quantum Design 中国 供稿)
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。 图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
  • 青海大学500万元购买1套双光子三维光刻机
    7月30日,青海大学公开招标购买1套双光子三维光刻机,预算500万元。  项目编号:青海鑫融公招(货物)2021-27  项目名称:青海大学大型科研仪器购置补贴专项(双光子三维光刻机)  预算金额(元):5000000  采购需求:  数量: 1台  预算金额(元): 5000000  简要规格描述或项目基本概况介绍、用途:/  备注:  合同履约期限:详见招标文件  本项目(否)接受联合体投标。  开标时间:2021年08月23日 09:002021-27公招(货物)法务已审.doc
  • 38800元——气相色谱仪检测松节油中a-蒎烯和β-蒎烯的含量成套配置
    38800元&mdash &mdash 气相色谱仪检测松节油中a-蒎烯和&beta -蒎烯的含量成套配置 松节油为松科松属若干植物中渗出的油树脂经蒸溜或提取得到的挥发油。主要成份为a-蒎烯和&beta -蒎烯,另含有少量的L-莰烯,二戊烯等。产 品 名 称型号主要配置数量价格(元))气相色谱仪GC5890C大屏幕显示、配有氢火焰检测器(FID)、毛细管进样系统、十三阶程序升温、智能后开门1台34500毛细管色谱柱SE-3030m*0.32 品牌:南京科捷1根2800色谱工作站N2000双通道含软件 不含电脑打印机1套3000氮氢空发生器HGT-300E品牌:北京汇龙 氮氢空三气一体机1台19800带阀气体净化器 带阀三管气体净化器 GC通用1台750合计 :60850元 优惠价:38800元仪器简介: 南京科捷分析仪器有限公司用气相色谱法测定松节油中的a-蒎烯和&beta -蒎烯的含量,方法简便,快速准确,样品用量少,回收率好。完全满足国家检测标准GB/T12902-2006。参考图谱:南京科捷分析仪器有限公司推出松节油中a-蒎烯和&beta -蒎烯的含量检测成套配置,提供解决方案,为您的事业带来帮助,欢迎来电咨询详情!联系电话:尹先生13951792301 李经理18974821899 郑经理13951691728
  • 国产化率95%以上!力合科技“环境空气污染物多参数智能监测成套仪器装备及系统应用”成果鉴定会在长沙召开
    仪器信息网讯 2024年4月23日,力合科技(湖南)股份有限公司(简称:力合科技)在长沙组织召开了“环境空气污染物多参数智能监测成套仪器装备及系统应用”成果鉴定会。此次鉴定会不仅是对力合科技创新研发成果的一次集中展示和权威评估,也彰显了力合科技在大气监测领域的技术实力。会议现场鉴定会共邀请了来自中国科学院合肥物质科学研究院、中国人民解放军国防科技大学、北京大学、湖南大学、中国科学院大气物理研究所、中国环境科学研究院、中国气象科学研究院、暨南大学等科研院所,以及生态环境保护部生态环境监测司、中国环境监测总站、湖南省气候中心、各省市环境监测中心等的11位鉴定专家与13位特邀专家到场。特别值得一提的是,中国科学院合肥物质科学研究院刘文清院士、中国人民解放军国防科技大学宋君强院士、北京大学张远航院士亲自莅临现场,为力合科技环境空气污染物的监测技术和装备的应用提供有力的技术支持和指导。此次会议采取线上与线下相结合的方式进行,中国环境科学学会王国清主任介绍与会专家和鉴定事项,中国科学院合肥物质科学研究院刘文清院士主持鉴定流程。力合科技(湖南)股份有限公司 研发中心副总经理 刘德华会议伊始,力合科技研发中心副总经理刘德华向大家做《环境空气污染物多参数智能监测成套仪器装备及系统应用》项目汇报,详细介绍了此项目的研发背景、创新成果、技术优势及应用推广等。据介绍,该项目针对我国新时期大气污染精准防治对国产化空气污染组分监测装备的需求,开展了“部件-仪器-集成-平台”全链条软-硬自主化研发攻关,整体国产化率达到95%以上!此系统可以满足常规、组分、交通站、走航监测以及应急保障监测等多场景智能监测与智慧运维管理的需求。”据介绍,项目团队十年来不断进行创新突破,一是实现了核心技术自主掌控,成功自主研发了10余种核心传感器,创新设计超长光程多次反射池、臭氧高效分解装置等核心模块,研发了覆盖常规、光化学组分、颗粒物组分等国产化多参数智能监测系列仪器,自动监测指标可达150多项;二是通过一体化智能融合设计,研发了标准化、高集成度、智能化的环境空气多参数同步监测的系统,突破国产化仪器-集成-平台软件技术体系,提升了数据传输与共享的安全性;三是构建了“精准诊断-智能审核-精细运维-在线解析”全链条的大气污染监测智能化应用体系,实现远程诊断、智慧运维,提升了大气监测的数字化水平。据悉,截至目前,该成套系统成果已在湖南、云南、四川、福建等全国20余个省份大气污染组分监测网络建设和运行中得到广泛的应用,逐步形成了以快速、精准监测为基础的城市化服务模式。不仅如此,刘德华还表示,“该系统有望大幅减少建设和运维投入,以常规监测为例,采用本项目成果,建设费用及单站运维成本大幅降低。”现场考察项目汇报后,与会专家亲临现场考察项目成果,进行深入调研,近距离观察仪器设备构造,细致入微地审视每一处设计细节,直观感受技术成果的创新之处。在现场考察过程中,专家们针对设备性能、操作使用等提出了一系列实用与适用的问题,并基于各自丰富的经验和独到的视角,给予了宝贵的指导性意见。通过详尽项目汇报和全面的现场考察,鉴定团队展开了深入讨论与严谨评估,每位专家均对该创新成果给予了高度评价,并从基础原理、技术创新及市场应用等多个维度出发,提出了许多富有建设性和实操性的建议。鉴定专家评审鉴定委员会专家认为,该监测系统的设计理念先进,智能化与国产化水平高,尤其是在元器件与仪器设备自主研发方面实现了重要进展,能够提供从监测实施、数据分析到结果输出的全流程解决方案,其整体性能指标达到国际领先水平,充分体现了国产化与产业化的双重能力,并精准对接了当前国家对于高端、智慧化环保监测技术的迫切需求。同时,专家们也提出了中肯的建议,鼓励力合科技在未来发展中应进一步深入挖掘项目在研发理论、科学测量方法、核心技术攻克、数智化升级转型以及绿色低碳实践等方面的原创性贡献,特别是希望能够详细梳理关键部件自主研发的具体方法及其对社会带来的积极影响,从而为后续的科研创新与市场拓展奠定更加坚实的基础。特邀嘉宾评审除此之外,与会的特邀专家也纷纷表示,大气监测仪器市场历来由国际品牌主导,用户对于本土产品的性能稳定性与测量精确性常持保留态度。力合科技所做的工作为推动这一行业加快掌握核心技术及关键部件的自主研发具有很重要的意义。鉴于此,专家们期望力合科技能乘势而上,持续优化智慧化数据管理平台,进一步优化质量控制体系与智能化审核流程,通过技术创新实现成本控制与效率提升的双赢。此外,专家们鼓励力合科技可以前瞻性地分析市场趋势,促进企业和仪器设备的可持续发展。最终,经过与会专家和项目团队的充分讨论,鉴定专家一致表示此项成果在环境空气污染物多参数智能化监测与远程监管方面达到国际领先水平,具有广阔市场前景,建议加强推广应用。力合科技(湖南)股份有限公司 董事长 邹雄伟会议最后,力合科技董事长邹雄伟向所有与会专家表达了诚挚的谢意。他表示,环境空气污染物多参数智能监测成套仪器装备系统的推出是力合科技气体监测自主研发道路的关键里程碑成果,鉴定会中各位专家的肯定和提出的宝贵意见是对力合科技莫大的鼓舞与支持,这些真知灼见为公司的发展路径点亮了明灯。邹雄伟董事长承诺,力合科技将认真研究并积极采纳各位专家的建议,将其转化为后续研发与改进工作的实际行动。为了更深入的了解力合科技成果背后的故事,鉴定会期间,仪器信息网也就大家关心的问题采访了力合科技研发中心副总经理刘德华。对于“水质监测仪器”的标签问题,刘德华介绍说,“之前行业对力合科技的印象大多是水质监测仪器装备研发制造企业,实际上,力合科技从十几年前就成立了专门的气体产品研发部门,定位自主研发差异化、全参数、成套的气体监测产品。长期以来,虽然在研发过程遇到过各种各样困难,过程很艰辛、很漫长,但是收获满满。”谈到本次系统的亮点——核心部件国产化,刘德华表示,“仪器仪表核心技术‘空心化’是我国仪器仪表制造中难题。要实现核心传感器自主研发,需要将原理、设计、工艺、算法、电路等多方面持续研发与改进。”他特别强调,“核心部件国产化不是一家企业或几家企业就能做到,必须从产业链整体提升。目前我国的精密加工和元器件基础亟需提升,加快突破围绕仪器仪表产业的专业化配套的技术瓶颈,对我们仪器仪表整体技术水平提升至关重要。”对于该套系统的市场前景及公司的下一步研发目标,刘德华谈到,这套系统是一套国产化、智能化大气监测成套仪器及系统,定制开发了很多支撑我国大气污染物精细管理的高效实用功能,能够集当前智慧管理所需,同时,在目前大环境能力建设资金投入缩减、大力发展新质生产力的背景下,国产化产品拥有更大的发展空间,市场前景非常广阔。下一步,力合科技会继续坚持自主创新研发:一方面,在系统智能化方面将会持续发力,将AI等先进技术深度应用到仪器智能化质控、智能化运维、智慧应用、智能预测等方面;另一方面,将深入开展光学检测核心部件及相关仪器的自主研发,同时持续优化零部件和仪器性能,打造更全面、更完善的大气污染智能监测技术装备。
  • 国产光刻机及关键核心零部件研发进展
    p style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息… … /span/pp style="text-align:center text-indent:29px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-size: 15px line-height: 150% font-family: 宋体 "网传华为自研光刻机/span/strongstrong/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "1、 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。/span/pp style="text-align:center text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strong02/strongstrongspan style="font-family: 宋体 "专项核心零部件研发进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "双工件台系统完成验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“极紫外光刻关键技术研究”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“超分辨光刻装备研制”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他项目紧锣密鼓进行中/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。/span/pp style="text-align:center line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他团队光刻机研究进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,… … 虽是等于为帝王将相作家谱的所谓" 正史" ,也往往掩不住他们的光耀,这就是中国的脊梁… … ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。/span/ppbr//p
  • 某国产光刻胶产业化项目拟投资实施,购置仪器设备520 余台(套)
    12月16日,富湖北鼎龙控股股份有限公司(以下简称“鼎龙股份”)发布公告,为助力推动半导体 KrF/ArF 光刻胶的国产替代进程,同时进一步丰富公司业务板块,加速实现公司进口替代“创新材料平台型企业”的战略发展目标,公司全资子公司鼎龙(潜江)新材料有限公司(以下简称“潜江新材料”)布局 KrF/ArF光刻胶。鼎龙股份于 2023年12月22日召开第五届董事会第十四次会议,审议通过了《关于全资子公司实施增资扩股并与员工持股平台共同投资建设年产300吨 KrF/ArF 光刻胶产业化项目的议案》,同意公司对潜江新材料实施增资并以增资扩股方式引入两家员工持股平台及一家新进投资方共同投资建设年产 300 吨KrF/ArF 光刻胶产业化项目。本次 KrF/ArF 光刻胶项目拟投资实施的主要内容1、项目名称:年产300吨KrF/ArF光刻胶产业化项目2、实施地点:湖北省潜江市江汉盐化工业园长飞大道 1 号3、实施主体:鼎龙(潜江)新材料有限公司4、资金来源:公司自有或自筹资金5、建设内容及规模:新建主体生产厂房、动力中心、中控室、仓库、罐区等建筑面积 16,620.94 平方米,购置反应釜、混配釜、储液罐、离心机、质谱仪等仪器设备 520 余台(套),配套建设安全环保设施。项目投产后形成年产 300吨 KrF/ArF 光刻胶的生产能力。6、项目投资估算:本项目预计总投资额为80,395.30万元,总投资计划包括:产业化工程建设投资、研发及检测设备购置及安装费用、铺底流动资金等,预计年产产能为300吨,最终项目投资总额及产业化规模以实际投资为准。本次投资审议有1.5亿元注册资本,后续投资资金的缺口将由潜江新材料自筹解决。半导体光刻胶是由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体,半导体光刻胶按照光刻波长分为紫外光谱、g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)。半导体光刻胶是半导体光刻工艺中的关键材料,光刻胶及其配套试剂在晶圆制造材料成本中占比超过10%,半导体光刻胶的分辨率、对比度、感光速度等技术指标和质量一致性,直接影响到集成电路的性能、良品率、可靠性以及生产效率,其技术壁垒极高,因此被誉为半导体材料“皇冠上的明珠”。根据 TECHET 数据,2021 年全球半导体光刻胶市场中,合成橡胶(JSR)、东京应化(TOK)、信越、杜邦、住友化学、富士等企业占据的市场份额合计超过 90%,用于先进工艺的 KrF、ArF、EUV 光刻胶基本由该等外资厂商垄断。相比之下,中国光刻胶行业发展起步较晚,国产光刻胶主要用于平板显示、印刷电路板等领域,用于晶圆制造、先进封装的半导体光刻胶严重依赖进口。近年来,经过国内半导体光刻胶厂商的不懈努力,国内厂商已实现 g/i 线光刻胶的量产,但在更为先进的 KrF、ArF、EUV 光刻胶领域尚未实现大规模量产。在国际市场技术封锁、国内半导体产业加速发展的大背景下,KrF、ArF 光刻胶因其覆盖了从 0.25µm 到 7nm 的主要半导体先进制造工艺,是现阶段迫切需要实现国产化技术突破的半导体关键材料。因此,尽快实现高端光刻胶材料的国产化、产业化具有十分重要的战略意义和经济价值。经过多年快速发展,鼎龙股份已在半导体材料业务方面取得了显著成绩,积累了深厚的市场资源和客户基础。其中:半导体 CMP 制程工艺材料国产供应渗透程度稳步加深、半导体显示材料进入加速放量阶段、半导体先进封装材料产品开发、验证及量产导入工作按计划快速推进,半导体材料业务的快速发展带动了公司的业绩增长。为实现业务的可持续发展和利润的可持续增长,公司在保持现有业务稳健成长的同时,积极布局高端光刻胶赛道,努力打造新的盈利增长点。该项目的实施,将进一步丰富鼎龙股份的业务板块、步入高端光刻胶关键赛道,有利于公司进一步优化半导体材料业务的产品结构、扩大市场份额、新增利润增长点。
  • 我国金属管在线自动检测成套装备打破国外垄断
    日前,国内检测直径涵盖最广、检测精度最高的金属管在线自动检测成套装备在山东省科学院激光研究所通过鉴定。该装备的研发成功,打破了国外对金属管材无损检测设备的垄断局面,且同等指标的设备价格仅为进口设备的一半、替代进口优势明显,其应用可助推国产金属管材走向高端市场,受到国内各大钢铁企业的关注和欢迎。  我国是世界上最大的金属管材生产国,有大小金属管企业 2000多家,年产量近亿吨,其中近三成出口。由于国内没有成熟的检测技术和设备,而价格高昂的国外设备又往往不适应国内复杂的生产环境,致使国产管材大部分为低附加值的结构管和低压流体管,很难进入国际高端市场。国家发改委提出我国钢铁产业要提升发展质量,由钢管生产大国向钢管生产强国转变,研发适合国情的在线无损检测综合技术和成套装备成为行业急需。  山东省科学院受到省自主创新成果转化重大专项的支持,自主研发出的这一成套装备,利用涡流、超声检测技术实现对金属管表面和内部缺陷的高速在线检测,可检测直径从 5mm-1200mm ,基本涵盖了目前国产金属管的全部规格,检测精度达到或超过API、 ASME 、 GB 等国际国内标准,解决了自动在线检测技术难题,达到国际领先水平并具有完全自主知识产权。  专家认为,该装备的研发推广,将带动整个行业检测技术的进步,增加国产管在高端领域,如核电管、高压锅炉管、航空航天管材、石油天然气管等领域的国际竞争力和应用,提高产品质量和附加值,促进产业升级。同时,金属管材质量的提高,可降低因开裂、泄漏、爆炸引发的高风险场合的事故发生率,提高经济运行质量。对于应用企业,还可通过这一装备的实时检测,分析金属管、棒的伤残原因,及时调整生产设备,提高产品合格率,节约大量能源和原材料,节本效益显著。  激光所所长、研究员徐华告诉记者,正是由于这些良好的应用特性,该装备一经推广就受到国内各大钢铁企业的关注和欢迎。该成套装备通过国际招标成功应用到上海宝钢,后又推广到包钢、攀钢、大唐电力等十多个省份的 120多家企业,并在江苏振达钢管集团有限公司和临沂盛源无缝钢管有限公司建成示范工程。目前累计已推广约200台套,每台设备年检金属管材25000吨,检测后每吨售价可增加千元以上,每年为应用企业新增直接经济效益50多亿元,节约原材料和能源近亿元,产品供不应求。
  • 我国高分辨率OLED喷墨打印成套装备取得重大突破
    近日,季华实验室公众号发布消息称,朱云龙教授团队在高分辨率OLED喷墨打印成套装备研究中取得重大突破。OLED喷墨印刷技术与传统OLED面板蒸镀技术相比,由于其具有按需打印,材料利用率高(蒸镀工艺材料利用率15%,喷墨打印可提高到85%以上),无需高精度掩膜版、无需真空环境等优点,是制作大尺寸OLED面板最具潜力的发光层成形方法,正成为新型显示产业的一次重大技术革命,也被誉为是彻底改变目前OLED电子显示行业由“蒸镀工艺”向“喷墨打印”技术转变的颠覆性产业技术革命。然而,我国OLED喷墨打印技术面临技术瓶颈问题,核心装备一直未有突破。季华实验室朱云龙教授团队一直致力于研发高分辨率OLED喷墨打印成套装备,该团队历时3年多时间,先后突破宏量喷墨打印同步协同控制技术、大型腔体多物理场高稳定性可控技术、高精密对位系统、高精度循环供墨系统等多项关键核心技术,形成了独特的喷墨打印技术方案,成功研制了200mm×200mm OLED喷墨打印成套装备,并实现7吋137ppi基板全彩打印点亮、5吋254ppi、300ppi基板打印及UV测试,标志着我国自主装备首次实现了300ppi的高分辨率打印,性能达到国际先进水平。2023年8月,该团队研制的G4.5代高分辨率OLED喷墨打印成套装备已完成安装调试,导入试验线,目前运行稳定。该装备于近期成功实现31吋基板多色打印与图案化展示,为我国喷墨打印显示技术产业化发展提供了强有力的技术装备支撑,具有里程碑式的意义。图1. 200mm×200mm OLED喷墨打印成套装备图2. 7吋137ppi基板全彩打印及点亮测试图3. 254ppi/300ppi 基板打印及UV点亮测试图4. G4.5代高分辨率OLED喷墨打印成套装备图5. 31吋基板全彩打印点亮测试及图案化展示
  • 用于高分辨率制造的低成本显微投影光刻系统
    集成光信号分配、处理和传感网络需要小型化基本光学元件,如波导、分光器、光栅和光开关。为了实现这一目标,需要能够实现高分辨率制造的方法。弯曲元件(如弯管和环形谐振器)的制造尤其具有挑战性,因为它们需要更高的分辨率和更低的侧壁粗糙度。此外,必须采用精确控制绝对结构尺寸的制造技术。已经开发了几种用于亚波长高分辨率制造的技术,如直接激光写入、多光子光刻、电子束光刻、离子束光刻和多米诺光刻。然而,这些技术成本高、复杂且耗时。纳米压印光刻是一种新兴的复制技术,非常适合高分辨率和高效制造。然而,它需要高质量的母版,通常使用电子束光刻来生产。新发表在《光:先进制造》的一篇论文中,来自汉诺威莱布尼兹大学的科学家Lei Zheng博士等人开发了一种低成本、用户友好的制造技术,称为基于紫外发光二极管的显微投影光刻(MPP),用于在几秒钟内快速高分辨率制造光学元件。这种方法在紫外光照射下将光掩模上的结构图案转移到涂有光致抗蚀剂的基板上。a.采用基于UV-LED的显微镜投影光刻系统的草图。b.工艺链示意图,包括从结构设计到最终投影光刻的步骤。c.使用MPP制造的高分辨率光栅。d.通过MPP实现的低于200nm的特征尺寸。上部和下部所示的线条分别使用昂贵的物镜和经济物镜制造。MPP系统基于标准光学和光机械元件。使用波长为365nm的极低成本UV-LED作为光源,而不是汞灯或激光。研究人员开发了一种前处理工艺,以获得MPP所需的结构图案化铬掩模。它包括结构设计、在透明箔上印刷以及将图案转移到铬光掩模上。他们还建立了一个光刻装置来制备光掩模。通过该装置和随后的湿法蚀刻工艺,可以将印刷在透明箔上的结构图案转移到铬光掩模上。MPP系统可以制造特征尺寸低至85纳米的高分辨率光学元件。这与更昂贵和更复杂的制造方法(如多光子和电子束光刻)的分辨率相当。MPP可用于制造微流体设备、生物传感器和其他光学设备。研究人员开发的这种制造方法在光刻领域取得了重大进展,可用于光学元件的快速和高分辨率结构化。它特别适合于快速原型设计和低成本制造重要的应用。例如,它可以用于开发用于生物医学研究的新型光学设备,或为消费电子产品应用原型化新型MEMS设备。
  • 预算超7000万!中国科学院上海高等研究院采购DUV光刻机
    p style="text-align: justify text-indent: 2em "span style="text-indent: 2em "9/spanspan style="text-indent: 2em "月28日,张江实验室(中国科学院上海高等研究院)发布《张江实验室(中国科学院上海高等研究院)硅光子市级重大专项200mm硅光中试平台拓展和成套工艺开发193nm 光刻机设备采购项目公开招标公告》,预算达7135万元。/span/pp style="text-align: justify text-indent: 2em "招标文件获取日期为2020年09月28日 至 2020年10月12日,每天上午9:00至11:00,下午13:00至16:00。(北京时间,法定节假日除外)并于2020年10月28日 09点30分(北京时间)前递交投标文件。2021年11月30日前交货。/ph3一、项目基本情况/h3p style="text-indent: 2em "项目编号:0705-204118101829/pp style="text-indent: 2em "项目名称:张江实验室(中国科学院上海高等研究院)硅光子市级重大专项200mm硅光中试平台拓展和成套工艺开发193nm 光刻机设备采购项目/pp style="text-indent: 2em "预算金额:7135.0000000 万元(人民币)/pp style="text-indent: 2em "最高限价(如有):7135.0000000 万元(人民币)/pp style="text-indent: 2em "采购需求:/pp style="text-indent: 2em "193nm 光刻机设备:1台/套/pp style="text-indent: 2em "交货期:2021年11月30日前/pp style="text-indent: 2em "简要技术要求:/pp style="text-indent: 2em "Wafer size:200mm/pp style="text-indent: 2em "Resolution: 65nm/pp style="text-indent: 2em "NCE: ≤ 7.0nm/pp style="text-indent: 2em "AST: ≤ 25nm/pp style="text-indent: 2em "IPD: ≤ 45nm/pp style="text-indent: 2em "SMO:≤ 9.5nm/pp style="text-indent: 2em "合同履行期限:2021年11月30日前br//pp style="text-indent: 2em "本项目( 不接受 )联合体投标。/ph3二、申请人的资格要求:/h3p style="text-indent: 2em "1.满足《中华人民共和国政府采购法》第二十二条规定;/pp style="text-indent: 2em "2.落实政府采购政策需满足的资格要求:/pp本次招标所需的资金来源已经落实,拟采购的设备已通过进口论证。/pp style="text-indent: 2em "3.本项目的特定资格要求:1) 投标人须是投标货物的制造商,或是再制造企业,或是取得有效授权的代理商。(若是再制造企业,应当具备再制造生产所需的必备条件(见质检检函[2008]109号“关于规范进口再制造用途旧机电产品检验监管工作的通知”的附件“申请再制造企业登记必备条件”),并向所在地检验检疫机构申请登记;若是代理商,须提供由投标货物制造商或再制造企业出具的针对本项目的书面唯一授权书及售后服务授权书。);2) 投标货物可以是全新设备,也可以是再制造后的旧设备,但不可以是改造设备,投标人必须在其投标文件中对投标货物明确说明(若为旧设备,须提供设备的生产年份和序列号,且须符合国家建设循环经济和再制造产业发展的要求,通过先进工艺技术和产业化生产可以恢复原设计性能。);3) 投标人须在投标截止期之前在国家商务部指定的机电产品招标投标电子交易平台(以下简称电子交易平台,网址为:http://www.chinabidding.com)上完成有效注册(由于电子交易平台的注册审核需要一定时间,如投标人在决定参加本项目投标后请尽早登录该网站查询自身是否已经处于有效注册状态,以免因临近投标截止时间再来办理注册事宜而影响正常投标);4) 投标人应为符合《中华人民共和国招标投标法》规定的独立法人或其他组织(须提供营业执照或其他相关登记证明文件);5) 投标人近三年内在经营活动中没有重大违法记录,无利用不正当竞争手段骗取中标,无重大经济刑事案件,未因自身的任何违约、违法或违反商业道德的行为而导致合同解除或作为被告败诉(须提供承诺书);6) 投标人应具有履行合同所必须的设备和半导体专业技术能力,投标人须具有193nm 光刻机整机直接供货和装机经验(须提供机台验收证明文件或用户证明文件);7) 投标人提供的投标货物必须为自有机台,须提供自有机台真实性证明(机台所属证明,down-payment,不接受LOI),投标人须在招标人提出要求后的3天内接受招标人对机台真实性的现场查验;8) 本项目不接受联合体投标。br//ph3三、获取招标文件/h3p style="text-indent: 2em "时间:2020年09月28日 至 2020年10月12日,每天上午9:00至11:00,下午13:00至16:00。(北京时间,法定节假日除外)/pp style="text-indent: 2em "地点:中国上海延安西路358号美丽园大厦14楼购买招标文件/pp style="text-indent: 2em "方式:至现场购买或邮件/pp style="text-indent: 2em "售价:¥1000.0 元,本公告包含的招标文件售价总和/ph3四、提交投标文件截止时间、开标时间和地点/h3p style="text-indent: 2em "提交投标文件截止时间:2020年10月28日 09点30分(北京时间)/pp style="text-indent: 2em "开标时间:2020年10月28日 09点30分(北京时间)/pp style="text-indent: 2em "地点:中国上海延安西路358号美丽园大厦19楼购买招标文件/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/webinar/meetings/iCSMD2020/" target="_self"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202010/uepic/065d4f30-837c-4e88-b08c-cb7fca35b906.jpg" title="半导体材料与器件.jpg" alt="半导体材料与器件.jpg"//a/p
  • 吉大· 小天鹅中标深圳市工商局蔬菜农药残留快速检测成套设备采购项目
    2008年12月17日,我公司参加了深圳市工商局举办的蔬菜农药残留快速检测成套设备采购项目的招标(项目编号:SZCG2008011136),经过现场实验演示和评标委员会评审及采购人确认,我公司一举中得蔬菜农药残留快速检测成套设备156套,已于2008年12月31日在深圳市政府采购网公式。 长春吉大· 小天鹅仪器有限公司是专业从事现场速测仪器研发、生产、销售的企业,公司以吉林大学为技术依托,以产学研结合为基础,根据国家标准方法与市场需求,率先在国内开发了食品安全、室内空气质量、水质分析等系列专用检测仪器,迅速而广泛地应用在全国工商行政管理、卫生疾控、环境保护等部门和领域,为我国现场速测技术的进步、保护人民群众的身体健康做出了积极贡献,受到业内专家、广大用户以及政府部门的好评和表彰。
  • 无掩膜直写光刻系统助力范德华异质结构器件制备,室温下展现隧穿磁阻率!
    论文题目:Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions发表期刊:ACS Applied Materials & Interfaces IF: 9.5DOI: https://doi.org/10.1021/acsami.3c06167【引言】 基于范德华 (vdW) 异质结构的磁隧道结 (MTJs)具有原子尺度上清晰且锐利的界面,是下一代自旋电子器件的重要材料。传统的Fe3O4、NiFe和Co等材料所制成的MTJ相关器件在10-80K温度下的磁阻率仅为0.2%-3.2%,主要是因为在制备过程中界面处会受到不可避免的损伤。寻找拥有清晰且完整界面的垂直磁各向异性(PMA)的铁磁性晶体就成为了发展MTJ相关器件的关键。二维过渡金属二硫属化物是一种具有清晰的界面二维铁磁材料,近年来成为制备MTJ相关器件的明星材料。然而,在已报道的研究中,尚未有在室温下还展现出一定隧穿磁阻率的相关研究。【成果简介】 近日,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3制备出了基于垂直范德华结构的室温条件下的MTJ器件。器件的上下电极为Fe3GaTe2,中间层为WS2。非线性I-V曲线显示了Fe3GaTe2/WS2/Fe3GaTe2异质结构的隧穿输运行为。在10K的温度下,其隧穿磁阻率可达213%,自旋极化率可达72%。在室温条件下,所制备器件的隧穿磁阻率仍可达11%,此外,隧穿磁阻率可以通过外加电流进行调控,调控范围为-9%-213%,显示出了自旋滤波效应。相关工作以《Room-Temperature and Tunable Tunneling Magnetoresistance in Fe3GaTe2‑ Based 2D van der Waals Heterojunctions》为题在SCI期刊《ACS Applied Materials & Interfaces》上发表。 文中所使用的小型台式无掩膜直写光刻系统- MicroWriter ML3具有结构小巧紧凑(70 cm x 70 cm x 70 cm),无掩膜直写系统的灵活性,还拥有高直写速度,高分辨率等特点,为本实验提供了方便高效的器件制备方案。 小型台式无掩膜直写光刻系统- MicroWriter ML3【图文导读】图1. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构及表征。(a)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件结构的示意图。(b)Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件各部分的AFM表征。(c)MTJ器件的刨面图。图2. Fe3GaTe2霍尔器件的磁传输特性。(a)利用MicroWriter ML3无掩模光刻机制备的Fe3GaTe2霍尔器件的AFM表征结果。(b)Rxx随温度的变化。(c)不同温度下,Rxy随磁场的变化。图3. Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件的电磁输运特性。(a)在10K和300K的温度下的I-V曲线。(b)在温度为10K和电流为10nA的条件下,电阻和隧穿磁阻率随磁场的变化。图4. 在10K到300K的温度范围内的磁输运测量结果。(a)隧穿磁阻率在不同温度下的结果。(b)隧穿磁阻率随温度的变化。(c)自旋极化率随温度的变化。图5. 论文中制备的器件与其他论文中器件的自旋极化率比较。【结论】 论文中,华中科技大学相关团队利用小型台式无掩膜直写光刻系统- MicroWriter ML3 制备了基于Fe3GaTe2/WS2/Fe3GaTe2异质结构的MTJ器件。该器件在10K的温度下,隧穿磁阻率高达213%,自旋极化率为72%。与已报道的MTJ器件相比,论文中所制备的器件在室温下的隧穿磁阻率仍可达11%,为自旋电子器件的发展提供了一种可能。此外,在论文中还可以看出,小型台式无掩膜直写光刻系统-MicroWriter ML3得益于其强大的光刻和套刻能力,可以十分方便地实现实验中所设计图形的曝光,是各学科科研中制备各类微纳器件的得力助手。
  • 世界上最高分辨率的光刻系统来了,可制造0.7纳米芯片
    9月21日,美国原子级精密制造工具的纳米技术公司Zyvex Labs发布公告,已推出世界上最高分辨率的光刻系统“ZyvexLitho1“,其使用电子束光刻技术,实现了768皮米(即0.768纳米)的原子级精密图案和亚纳米级分辨率。Zyvex Labs已经开始接受ZvyvexLitho1系统的订单,交货期约为6个月。EUV光刻机是当前先进制程的必备设备。荷兰阿斯麦(ASML)作为全球第一大光刻机设备商,同时也是全球唯一可提供EUV光刻机的设备商。在市调机构CINNO Research发布的2022年上半年全球上市公司半导体设备业务营收排名Top10报告中排名第二。Zyvex Labs此次推出的ZyvexLitho1光刻系统,基于STM扫描隧道显微镜,使用的是EBL电子束光刻方式,可以制造出了0.7纳米线宽的芯片,相当于2个硅原子的宽度,是当前制造精度最高的光刻系统。据悉,ZyvexLitho1光刻系统ZyvexLitho1的高精度光刻可以用于实验室阶段高端制程工艺的产品研发,是传统芯片制造所需光刻机的一个应用补充,主要可用于制造对于精度有较高要求的量子计算机的相关芯片,例如高精度的固态量子器件以及纳米器件及材料,对半导体产业的发展也具有巨大的促进作用。目前,Zyvex Labs已经开始接受订单,6个月内就可出货。对于这个新型光刻系统是否会威胁到EUV光刻的统治地位,赛迪顾问集成电路产业研究中心一级咨询专家池宪念表示:“短期内并不会“,他指出ZyvexLitho1是一种使用电子束曝光作为光刻方式的设备,与传统光刻机工作原理会有明显的差异。它是通过电子束改变光刻胶的溶解度,最后选择性地去除曝光或未曝光区域。它的优势在于可以绘制10纳米以下分辨率的自定义图案,是属于无掩模光刻直接写入的工作方式,精度远高于目前的传统光刻机。但是由于这类型设备的单个产品光刻的工作时间要在几小时到十几小时不等,工作效率方面还需进一步提高,因此不会快速取代EUV光刻机。
  • 商务部鼓励和支持大型成套设备出口
    近日,商务部机电和科技产业司司长张骥表示,2009年商务部将综合运用出口退税、进出口信贷、保险等政策手段,采取七大举措促进机电产品贸易,鼓励和支持大型成套设备出口。  由于全球金融危机进一步蔓延,2008年后半年机电出口企业盈利开始下降,少数企业不敢接单、订单骤减,甚至出现了亏损、倒闭。据海关统计,自2008年8月起中国机电产品出口当月增速连续四个月在20%以下,11月下降4.8%,出现多年来首次负增长。为贯彻落实12月24日国务院常务会议精神,自2009年被明确提高出口退税率的部分技术含量和附加值高的机电产品共达553种。  业内人士表示,目前国家支持大型成套设备走出去战略还缺乏具体的操作措施,此政策的出台无疑为成套设备出口企业带来进一步的利好消息。  重庆五矿机械进出口公司总经理刘鸣表示,在相关海关守则准则上,对于这类产品的出口如何退税也缺少具体规定。现在海关的征税办法还是按照产品的形态划分,比如锅炉、电机、管道等,但是海关有时候看见管道是钢材制品,所以就将整个成套设备按照钢材的征税办法来征收。而且很多时候大型成套设备因为整体太庞大,是以原材料、零部件的方式先运输出去、再组装,对于这种情况,目前的征税办法缺少现实的考虑。  张骥认为,虽然今年中国机电产品出口下行压力加大,困难会加剧,尤其是上半年出口增速可能较大幅度回落。但今后相当长的一段时期,中国机电产品比较优势不会逆转,仍是最具增长潜力的出口商品。从企业自身来说,机电工业较长时间仍将存在产能过剩压力,企业有扩大出口的动力。  刘鸣认为,2008年我国一般贸易受到外部金融危机的重创,而成套设备企业的运作还是相对正常的,在这种比较下银行在本行业增加信贷的力度会增强。“从2008年开始,明显感到这方面的变化。”  有企业表示,如果在保险费率、政府补贴方面提供更多的优惠,企业成套设备的出口会更积极。“现在的保险费用对企业来说是较高的。”刘鸣说:“以我们在中东的一个项目为例,600万元保险费用占销售收入的1.76%,占净利润的近10%。”
  • 百若新一代光缆成套试验检测设备成功研发
    2013年4月,中国电信启动了2013年光纤、室外光缆和引入光缆集中采购项目,需求量分别约为2300万芯公里、2200万芯公里和120万芯公里。在宽带网络建设提速、LTE加速发展等大市场环境下,国内光纤光缆产业迈入发展高峰期。 百若公司基于前两代光缆成套试验设备的成熟技术,依据光纤光缆新的检测标准要求,自主研发了全新一代光缆机械性能成套试验检测设备。 光缆机械性能成套试验设备包括光缆拉伸、蝶形光缆拉伸、光缆压扁、光缆冲击、光缆扭转、光缆反复弯曲、光缆曲挠、光缆卷绕等试验设备,能够满足国标、军标对光缆的检测要求。光缆拉伸、光缆压扁试验机具有高分辨率、高灵敏的信号输出接口,可以直接和CD300、CD400、CD500、PK2800相连接,满足试验过程中对光纤应变色散的测试。每台试验设备都由计算机控制,可以单机全功能操作,也可由通信口连接计算机,实现计算机的远程全功能控制操作。 该套设备不仅造型美观,而且低耗环保,性能优越。良好的品质赢得了光缆测试用户的认可,接到了国内和国外用户的多套订单。
  • 投7000万 国家成套空分设备质检中心开建
    记者27日从市质监局获悉,国家成套空分设备质量监督检验中心近日在开封市动工兴建。据介绍,该项目位于开封新区七大街,计划投资7000万元,建设工期18个月。  开封是国内重要的空分设备制造基地,空分设备占据国内主导地位,产业聚集度高,占国内同类产品产能的50%以上,相关配套产业齐全,空分设备制造企业实力强、特色明显,大型空分设备在国内处于领先地位并在国际市场有一定份额。项目所在地是2010年国家科技部批复建立的&ldquo 国家火炬计划开封空分设备特色产业基地&rdquo 。国家成套空分设备质量监督检验中心将与开封的空分产业紧密结合,可为开封市空分设备产业的可持续发展提供有效的质量保障和技术支撑。  项目建成后,将入驻开封市质量技术监督检验测试中心、开封市纤维检验所、开封市特种设备检测检验所、开封市锅炉压力容器检验所等6个省、市级检测服务机构。以国家成套空分检测为主,省级实验室、市级实验室全部迁入,实现国家级、省级、市级三位一体。成为集技术检测、公共服务、科学研究、职工教育、后勤保障于一体的综合性检测基地,为深入推进质量立市战略,促进经济发展方式转变和产品结构调整升级,保障我市空分产品质量发挥强大的技术支撑和引领作用。
  • 泽攸科技完全自主研制的电子束光刻机取得阶段性成果
    国产电子束光刻机实现自主可控,是实现我国集成电路产业链自主可控的重要一环。近日,泽攸科技联合松山湖材料实验室开展的全自主电子束光刻机整机的开发与产业化项目取得重大进展,成功研制出电子束光刻系统,实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。电子束光刻是利用聚焦电子束对某些高分子聚合物(电子束光刻胶)进行曝光并通过显影获得图形的过程。而产生聚焦电子束并让聚焦电子束按照设定的图形扫描的仪器就叫做电子束光刻机。它是推动我们当前新材料、前沿物理研究、半导体、微电子、光子、量子研究领域的重要手段之一。此前,全球电子束光刻机市场高度集中,主要由美日企业垄断,我国尚未掌握该领域核心技术,装备长期依赖进口。为实现电子束光刻机的自主可控,泽攸科技多年来持续积累电子光学、微纳技术、高压源及电子源技术、真空系统、自动控制、数字图像处理等多学科交叉核心技术,构建了完整的技术体系,并推出了台式扫描电镜等多款热销电子束产品。2023年3月,泽攸科技联合松山湖材料实验室共同投资2400万元,成立联合工程中心,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,泽攸科技已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形,达到先进水平。该成果标志着泽攸科技在电子束光刻机关键技术和整机方面的自主创新能力获得重大提升。下一步,泽攸科技将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。相信在公司技术团队的不懈努力下,泽攸科技自主研发的电子束光刻机整机必将加速实现量产和商业化应用。这不仅将大幅降低国内高端芯片制造的装备成本,还将打破国外企业的技术壁垒,使我国拥有自主可控的电子束光刻机技术,推动国产替代,切实保障国家信息安全。以下是电子束光刻机部分应用案例:
  • 捷锐为德西兰基金会捐赠焊接成套设备
    上海2012年3月21日&mdash 近日,捷锐将一批焊接成套设备捐赠给德西兰基金会,包括焊接切割工具、减压器、焊嘴、割嘴、回火防止器、人体防护用品等焊割产品,并提供专业焊接技术和教程,用于该基金会对焊接人才的培养和训练。 捷锐焊割系列产品应用于多个行业,包括船舶、制冷、轨道交通、化工、机械等。捷锐焊接产品经过40余年的应用,累计经验,结合实际使用情况,持续对产品内外部结构、材料使用、制造及检测工艺等方面进行改良,以符合国内外各行业客户的使用要求。 关于捷锐 捷锐企业(上海)有限公司成立于1993年,专精研发制造高洁净之集中供气系统及流体控制相关零件、组件、系统设备、焊割器具、仪器仪表等。产品主要应用在半导体、气体、化工、生物科技、核电、航天、食品等行业。厂区内配备欧美最先进的高科技生产设备,并设置中央实验室、检测室及Class 10/100/1000无尘室。GENTEC捷锐荣获ISO 9001,ISO13485,API SPEC Q1等国际质量体系认证,并获权使用美国UL及欧盟CE标志。 GENTEC拥有全球40余年的市场、研发及制造经验,提供流体系统整体解决方案,遍布全球的行销服务网络,赢得全球用户的信赖。媒体联络人: 销售联系人:部门:市场部 部门:工业行销部联系人:汪蓉蓉 联系人:曹永年电话:021-67727123-116 电话:13701757351捷锐微博:weibo.com/indgentec
  • 某国产光刻设备商公开和授权一批光刻技术专利
    近日, 合肥芯碁微电子装备股份有限公司公开和授权一批光刻技术专利。 据了解,合肥芯碁微电子装备股份有限公司(简称:芯碁微装),成立于2015年6月,注册资本12080万元,坐落于合肥市高新区集成电路产业基地,公司专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产。主要产品及服务包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备。以下为专利详情:发明名称专利类型法律状态申请号申请日公开(公告)号公开 (公告)日期一种激光直接成像设备对准相机位置关系误差的测量方法发明授权授权CN201910534115.12019-06-20CN110275399B2021-05-07一种激光直写光刻机长辊式压板机构发明公布公开CN202011626210.32020-12-30CN112764322A2021-05-07镜头畸变补偿方法、存储介质以及直写式光刻机发明公布公开CN202011635539.62020-12-31CN112748644A2021-05-04吸盘组件和具有其的光刻机实用新型授权CN202022035008.52020-09-15CN213069472U2021-04-27成像装置和光刻机实用新型授权CN202021586260.92020-08-03CN213069471U2021-04-27一种用于直写光刻机的电机串并联系统实用新型授权CN202022234271.72020-10-09CN213069473U2021-04-27《一种激光直接成像设备对准相机位置关系误差的测量方法》公开了一种激光直接成像设备对准相机位置关系误差的测量方法,包括建立激光直接成像设备基础台面的直角坐标系;在基础台面上放置尺寸标定板,该尺寸标定板布置有至少三个MARK点,其中有三个MARK点构成的直角三角形;利用左对准相机和右对准相机测量构成直角三角形的MARK点的中心坐标;以左对准相机或右对准相机为基准相机,利用所测MARK点的中心坐标计算两对准相机的位置关系误差。本发明解决了两相机距离较远无法标定位置关系的问题。《成像装置和光刻机》公开了一种成像装置和光刻机,成像装置包括:镜筒、光学组件和运动转换件,镜筒内形成有安装腔,镜筒形成有轴向延伸的限位槽,限位槽径向贯穿镜筒的壁,光学组件设置于安装腔内,光学组件外侧设置有移动件,移动件穿设限位槽,以实现光学组件轴向移动,运动转换件可转动地套设在镜筒的外侧且与移动件相配合,以在运动转换件相对镜筒转动时驱动移动件在限位槽内轴向移动。使用该运动转换件可以将光学组件的旋转和上下两个方向的运动分开,通过运动转换件的旋转推动移动件,从而可以带动光学组件实现上下移动,这样避免了传统的直接使用螺纹旋转上下调节给成像装置的成像质量带来的各种不良影响。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级。光刻技术成为一种精密的微细加工技术。基于此,仪器信息网拟于2021年5月14日举办“半导体光刻技术与应用主题网络研讨会”,依托“网络讲堂”栏目,邀请业内专家以及厂商技术人员参与本次网络研讨会,就半导体光刻技术等话题共同探讨,为广大从事半导体光刻设备和技术研发的专家学者和技术人员提供一个交流的空间。(点击图片免费报名参会)
  • 芯米半导体“一种光刻胶保湿系统”专利获授权
    天眼查显示,芯米(厦门)半导体设备有限公司近日取得一项名为“一种光刻胶保湿系统”的专利,授权公告号为CN111661452B,授权公告日为2024年6月28日,申请日为2020年6月23日。发明内容本发明公开了一种光刻胶保湿系统,其结构包括盒体,本发明通过设置辅助机构于盒盖上端中部,电机输出轴带动第一旋转轴,从而使得固定在旋转板右端的搅拌装置开始对承装斗内的光刻胶进行搅拌,达到了防止光刻胶凝结,且便于使用者进行使用的优点,通过设置承装装置于盒体上端中部,将光刻胶放置在承装斗后,搅拌装置工作时,将使得滑杆在凹槽中进行缓慢的转动,达到了增强承料斗工作时的稳定性的优点。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制