当前位置: 仪器信息网 > 行业主题 > >

粗引线键合机

仪器信息网粗引线键合机专题为您提供2024年最新粗引线键合机价格报价、厂家品牌的相关信息, 包括粗引线键合机参数、型号等,不管是国产,还是进口品牌的粗引线键合机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合粗引线键合机相关的耗材配件、试剂标物,还有粗引线键合机相关的最新资讯、资料,以及粗引线键合机相关的解决方案。

粗引线键合机相关的资讯

  • 客户成就| Nanoscribe双光子微纳3D技术应用于光子引线键合技术
    光子引线键合技术实现多光子芯片混合组装近日,由Nanoscribe公司的Matthias Blaicher博士携手Muhammed Rodlin Billah博士组成了一个德国光子学,量子电子学和微结构技术研究团队,利用光子引线键合技术,实现了硅光子调制器阵列与激光器和单模光纤之间的键合,制造出光通信引擎。此项研究成果发表在《自然-光:科学与应用》国际学术期刊上。(Light: Science & Applications)研究人员利用Nanoscribe公司先进的3D光刻技术将光学引线键合到芯片上,从而有效地将各种光子集成平台连接起来。此外,研究人员还简化了先进的光学多阶模块的组装过程,从而实现了从高速通信到超快速信号处理、光传感和量子信息处理等多种应用的转换。什么是光子引线键合技术自由光波导三维(3D)纳米打印技术,即光子引线键合技术。该技术可以有效地耦合在光子芯片之间,从而大大简化了光学系统的组装。光子丝键合的形状和轨迹具有关键优势,可替代依赖于技术复杂且昂贵的高精度对准的常规光学装配技术。 光子引线键合技术的重要性光子集成是实现各种量子技术的关键方法。该领域的大多数商业产品都依赖于需要耦合元件的光子芯片的独立组装,如片上适配器和体微透镜或重定向镜等。组装这些系统需要复杂的主动对准技术,在器件开发过程中持续监控耦合效率,成本高且产量低,使得光子集成电路(PIC)晶圆量产困难重重。 研究人员使用Nanoscribe的增材纳米加工技术,结合了常规系统的性能和灵活性,实现整体集成的紧凑性和可扩展性。为了在光子器件上设计自由形式的聚合物波导,该团队依靠光子引线键合技术,实现全自动化高效光学耦合。光子引线键合技术的可微缩性和稳定性在实验室中,研究人员设计了100个间隔紧密的光学引线键(PWB)。实验结果为简化先进光子多芯片系统组装奠定了基础。实验模块包含多个基于不同材料体系的光子芯片,包括磷化铟(InP)和绝缘体上硅(SOI)。实验中的组装步骤不需要高精度对准,研究人员利用三维自由曲面光子引线键合技术实现了芯片到芯片和光纤到芯片的连接。 在制造PWB之前,研究人员使用三维成像和计算机视觉技术对芯片上的对准标记进行了检测。然后,使用Nanoscribe双光子光刻技术制造光学引线键,其分辨率达到了亚微米级。研究团队将光学夹并排放置在设备中,以防止高效热连接中的热瓶颈。混合多芯片组件(MCM)依赖于硅光子(SiP)芯片与磷化铟光源和输出传输光纤的有效连接。研究团队还将磷化铟光源作为水平腔面发射激光器(HCSEL),当他们将光学引线键与微透镜结合在一起时,可以方便地将光学平面外连接到芯片表面。验证实验1在第一个实验中,研究团队通过使用深紫外光刻技术制造了测试芯片,结果表明光学引线键能够提供低损耗的光学连接。每个测试芯片包含100个待测试的键合结构,以从光纤芯片耦合损耗中分离出光学引线键损耗。光学引线键的实验室制造可实现完全自动化,每个键的连接时间仅为30秒左右,实验表明该时间可进一步缩短。研究团队还在其他测试芯片上进行了重复实验,验证了该工艺优秀的可重复性。随后,研究人员还进行了-40℃至85℃的多温度循环实验,以证明该结构在技术相关环境条件下的可靠性。实验过程中,光学引线键没有发生性能降低或是结构改变的情况。为了解光学引线键结构的高功率处理能力,研究人员还对样品进行了1550纳米波长的连续激光照射,且光功率不断增加。研究结果显示,在工业相关环境及实际功率水平中,光学引线键可以保证高性能。验证实验2在第二个实验中,研究团队制造了一个用于相干通信的四通道多阶发射机模组。在该模组中,研究人员将包含光学引线键的混合多芯片集成系统与电光调制器的混合片上集成系统相结合,并将硅光子芯片纳米线波导与高效电光材料相结合。实验结果表明,该模组具有低功耗、效率高的优点。更多双光子微纳3D打印技术和产品请咨询Nanoscribe中国分公司纳糯三维科技(上海)有限公司Photonic Professional GT2 双光子微纳3D打印设备Quantum X 灰度光刻微纳打印设备可应用于微光学,微型机械,生物医学工程,力学超材料,MEMS,微流体等不同领域。参考文献:Hybrid multi-chip assembly of optical communication engines via 3-D nanolithographyby Thamarasee Jeewandara , Phys.orghttps://phys.org/news/2020-05-hybrid-multi-chip-optical-d-nanolithography.html
  • 我国高温超导电流引线试验获世界最高纪录
    本报合肥12月19日电 记者从中科院合肥物质科学研究院获悉,即将用于人类首座热核聚变试验堆ITER的高温超导大电流引线的研发获重要进展。该院等离子体所的科研人员,在高温超导大电流引线试验中获得了通过90千安电流的成果。这是迄今世界各国获得的最高纪录。用于本次试验的电流引线是ITER协议签署后的第一个原型尺寸的重要部件。此举表明我国正在顺利执行ITER计划并迈出了关键一步。   ITER试验堆的超导电流引线系统又称超导馈线系统,是ITER及未来核聚变反应堆不可或缺的重要系统之一,其加工、制造的质量直接影响到将来ITER的主机磁体能否正常运行。按照ITER各参与国之间采购包的划分,中国将独立承担ITER所有超导馈线系统的设计与制造。ITER主机内部大型超导磁体线圈能产生稳定的磁场来约束等离子体,但为之供电、供冷及测量诊断的低温系统、电源系统以及控制测量系统等,却在主机外部而且距离较远,因此需要设置一个独立的磁体传输线系统即超导馈线系统,来连接磁体线圈与各子系统,实现磁体系统电流、低温冷却和数据信号等的传输。   符合ITER要求的是45—68千安的超大电流引线型超导馈线系统。这次用于试验的是一个符合ITER要求的原型尺寸的电流引线,这也是参加ITER计划的七国中第一个成功通过试验的原型尺寸的部件。这种高温超导大电流引线的成功研制,不但使中国可以按时交付ITER所需的超导馈线系统,而且有利于解决聚变堆巨型超导磁体致冷节能的科学问题。
  • 共进微电子和西电共建“传感器与汽车电子封测关键技术联合实验室”
    2024年1月19日,共进微电子和西安电子科技大学共建的"传感器与汽车电子封测关键技术联合实验室"正式揭牌,该实验室旨在促进封测领域的科研合作,推动封测技术的创新和产业的发展。同时,西安电子科技大学博士生导师、封装系首任主任田文超教授也将担任共进微电子首席科学家。封装测试在传感器和汽车电子芯片性能和可靠性方面扮演着至关重要的角色。联合实验室将在传感器与汽车电子芯片的相关结构设计、材料研究、应力、热、电磁仿真和可靠性验证等方面展开合作。此外,联合实验室还将成为为学生提供实习和培训机会的平台,促进人才培养和技术交流。共进微电子总经理张文燕表示:“共进微电子一直致力于封测技术的研发与创新,而西安电子科技大学在封装领域具有丰富的研究经验和优秀的学术背景。通过合作,我们期待能够取得更多突破性的研究成果,并将其应用于实际生产中。”西安电子科技大学田文超教授也表示:“西安电子科技大学的封装专业是2009年国家首批电子封装技术本科专业,同时也是全国唯一的电子封装类国家级特色专业。通过与共进微电子建立联合实验室,我们将充分发挥双方的优势,推动封装技术的创新,促进企业技术进步和生产力提升。”未来,共进微电子将充分利用联合实验室的优势,夯实并增强共进微电子在传感器与汽车电子芯片的封装能力,为客户提供高质量的封测一体化服务!| 关于共进微电子上海共进微电子技术有限公司,简称“共进微电子”,成立于2021年12月。共进微电子由上交所主板上市公司共进股份(603118)、探针智能感知基金(国家新兴产业创业投资引导基金参股)以及一流的技术和管理团队创立,专注于智能传感器领域的先进封装测试业务。专注于智能传感器及汽车电子芯片领域的先进封装测试业务。共进微电子拥有上海研发销售中心和苏州太仓生产基地。已建设1.8万平米先进的研发中心和生产基地,生产基地包含百级、千级和万级无尘室,建设传感器及汽车电子芯片的封装测试量产生产线。共进微电子拥有完整的封装产线,涵盖从晶圆研磨、切割到前段工艺的固晶、引线键合、点胶、贴盖、回流焊,以及后段工艺的注塑成型、打标、切单。提供多种产品封装类型,包括LGA、QFN、Fan-out、SIP和2.5D/3D等。测试能力包括晶圆测试、CSP测试和成品级测试能力。共进微电子封装测试产品包括惯性、压力、电磁、环境、声学、光学、射频和微流控等传感器和汽车电子芯片。公司以满足客户需求为宗旨,制定完整的封装测试方案、流程及品质管控,为客户提供一站式解决方案,打造集研发、工程、批量生产于一体的专业综合封装测试服务平台。共进微电子致力于建设全球知名的规模大、种类齐全、技术先进的传感器及汽车电子芯片封装测试产业基地和领军企业,填补国内相关领域在批量封装、校准和测试领域的空白,突破产业链瓶颈。
  • 光伏设备厂商跨界半导体,这四家公司已取得一定进展
    晶盛机电——隐形半导体大佬在国内所有光伏设备公司中,晶盛机电(300316.SZ)无疑是介入集成电路行业最深的公司。公司甚至在2021年底引入了中芯国际(688981.SH)执行董事、长电科技董事长周子学加入董事会。晶盛机电业务主要集中在半导体设备和碳化硅材料,随着近期定增落地,公司还将进入硅片制造环节。当前,光伏和集成电路大多是以单晶硅为基础制造的,这是两者相同点。而不同点则在于硅纯度的不同。因此两者所需设备相近,差别在于设备精度不同。晶盛机电是全球光伏单晶炉的龙头企业,全市场份额为50%到60%。以长晶设备为核心,公司半导体设备延伸覆盖至切片、抛光、外延等环节,包括单晶炉、滚圆机、切断机、线切割机、倒角机(在研)、研磨机、减薄机、边缘抛光机、抛光机和外延炉。奥特维——国产键合机“独苗”奥特维(688516.SH)主营业务为光伏组件串焊机,在全球市占率超过70%。公司近年来开始向半导体封测设备领域拓展。在通富微电(002156.SZ)2021年底披露的《非公开发行股票申请文件的反馈意见的回复》中,通富微电列举了封测领域各环节所需的设备,以及相对应设备的供应商,在键合机上,奥特维成为唯一入选的“国内可提供同类设备的供应商”。奥特维也因此收获了通富微电的批量订单。引线键合(WireBonding) 是封装中的关键环节,是使用细金属线,利用热、压力、超声波能量使金属引线与基板焊盘紧密焊合,实现芯片与基板间的电气互连和芯片间的信号互通。奥特维作为组件串焊机龙头,在自动化、焊接等底层技术积累了较为深厚的基础,在向键合机拓展时具备一定的技术延展性。根据海关数据,2021年国内引线键合机进口总金额为15.86亿美金。考虑国产设备的价格优势,引线键合机国产替代空间约75亿元。根据MIR DATABANK的统计,在中国大陆封测设备市场中,键合机是仅次于测试机的市场规模第二大的设备,以下依次是贴片机、探针台、分选机和划片机。迈为股份、捷佳伟创——小荷才露尖尖角迈为股份(300751.SZ)是全球电池片生产设备的龙头企业,在丝网印刷设备环节市占率超过70%。在迈为股份的官网上,目前有半导体晶圆激光改质切割、半导体激光开槽设备和半导体晶圆研磨三款设备,适用于封装中的划片和减薄两个环节。划片机作为半导体芯片后道工序的加工设备,用于晶圆的划片、分割或开槽等微细加工,其切割的质量与效率直接影响到芯片的质量和生产成本。划片机可分为砂轮划片机与激光划片机两种,分别对应刀片切割工艺与激光切割工艺。研磨机用于晶圆减薄,晶圆制造有几百道工艺流程,需要采用一定厚度的晶片在工艺过程中传递、流片,在晶圆封装前,需要对晶片背面多余的基体材料去除一定的厚度。2021年全球划片机市场规模约为20亿美元,考虑到我国封测产能占比约为全球1/4,合理推测2021年我国半导体划片机市场约为5亿美元,约合32-36亿元,国内尚无绝对龙头,但其较小市场规模对于迈为股份这样体量的公司,更多是试水作用。目前,迈为股份与半导体芯片封装制造企业长电科技、三安光电就半导体晶圆激光开槽设备先后签订了供货协议,并与其他五家企业签订了试用订单。5月20日,迈为股份公告拟与珠海高新区管委会签署投资合作协议,拟投资建设“迈为半导体装备项目”,该项目计划投资总额不低于21亿元。至于具体投资项目,还有待公司进一步披露。捷佳伟创(300724.SZ)是全球电池片清洗制绒设备龙头。公司在2021年年报中提到,在半导体设备领域,全资子公司创微微电子自主开发了6吋、8吋、12吋湿法刻蚀清洗设备,包括有篮和无篮的槽式设备及单片设备,涵盖多种前道湿法工艺。捷佳伟创公众号信息显示,创微微电子于2021年7月21日成功交付3套集成电路全自动槽式湿法清洗设备,同时正在设计制造中的设备还包含了用于MicroLED、第三代化合物半导体及集成电路IDM厂的槽式清洗设备及相关附属设备,涵盖了集成电路200mm以下近70%湿法工艺步骤。2022年中国本土半导体清洗设备市场空间约为80亿元,盛美上海(688082.SH)在该领域是国产替代的龙头,创微微电子在技术上和盛美上海还存在一定差距。根据捷佳伟创近期发布的定增方案,公司拟募集25亿元,其中6.46亿元用于先进半导体装备(半导体清洗设备及炉管类设备)研发项目。该项目主要内容为Cassette-Less刻蚀设备和单晶圆清洗设备技术的改进与研发,立式炉管长压化学气相沉积设备、立式炉管低压化学气相沉积设备、立式炉管低压原子气相沉积设备以及立式炉管HK ALO/HFO2工艺设备技术的改进与研发。
  • 利用XRF技术来遵循IPC-4556
    IPC-4556是关于印刷电路板化学镀镍/化学镀钯/浸金(ENEPIG)表面处理的规范。该规范于2013年1月发布,其中给出了IPC对于实现可靠的PCB表面处理的详细指南,旨在确保PCB在金、铜和铝线镀层应用中保持最佳的使用寿命、可焊性和引线键合。该规范涵盖了一系列PCB表面处理参数,用于确保PCB实现可靠的接触性能,其包括:视觉参考、附着力、可焊性、清洁度和电解腐蚀。然而,该文件主要侧重于镍、钯和金层的特定厚度范围。一方面,钯层必须足够厚,以阻止镍扩散到金表面,从而防止化学镀镍层过度腐蚀。(过度腐蚀会导致焊点变得不可靠)。而另一方面,如果钯层太厚,焊点会变脆,最终可能会失效。因此需要金层来保护钯层免受可能对引线键合和焊接产生不利影响的污染,而且金层必须大于规定的厚度。遵守该规范有助于PCB制造商交付的产品符合IPC第三类有关寿命至少为12个月。镀层厚度的测量IPC-4556规定,镀层厚度必须使用x射线荧光(XRF)方法来测量。IPC在开发该规范时采用XRF进行了大量的测试,因此制定出了一套详细的测量标准,包括设备设置、测量报告和校准建议。要想确保准确可靠地进行厚度测量,使用XRF仪器的人员必须了解影响测量结果的许多因素。其包括以下方面:样品大小镀层厚度会随镀层面积而变化,区域面积越小,镀层越厚。因此,对于校准和生产读数而言,用于测量的焊盘大小必须一致。校准标准对于类似于在生产设备上测量的厚度,IPC建议使用国家标准可追溯校准标准。同时应当采用量具R & R或等效统计方法。此外,还应经常检查校准标准片。XRF仪器软件许多XRF仪器配有背景校正软件,该软件旨在消除可能产生不正确读数的基底中的背景散射。该功能可能需要激活,如果适用,用户需要确定如何激活。探测器类型检测器必须能够测量三层薄镀层。虽然固态检测器(SSD)的分辨率比正比计数系统更好,但根据XRF仪器的使用年限和性能,SSD的测量时间会更长,因此可能需要进行权衡。IPC-4556指南有助于确保ENEPIG表面处理实现良好质量,同时让其保质期达到可预测、可重复水平。不过,还要仔细考虑并了解XRF仪器和相关软件以及使用正确的校准程序,这对于确保使用XRF来准确地进行镀层厚度测量而言至关重要。日立分析仪器是IPC成员,我们强烈建议遵循IPC的指南,以实现印刷电路板制造的质量和可靠性。我们的XRF仪器与PCB技术的快速发展保持同步,旨在帮助您在生产中获得一致性和可靠性。
  • 武汉药机展最大亮点“隔离器里穿针引线挑战赛”
    近日,泰林生物(股票代码:833327)向天下各路武林高手发出英雄召集令,邀请制药行业的“操作高人”参加《隔离器里“穿针引线”挑战赛》活动。在大家想象中“赤手”穿针都有些困难,这要是在隔离器里,双手带着手套,将线穿过针眼,那难度是不是很大?但经过小编亲自测试(从未用过隔离器),第一次用时15秒左右,第二次只有5秒不到,在隔离器里穿针并没有想象中的那么难,你的成绩是几秒?现场等你来挑战!有挑战就要有奖品,泰林生物现场准备了两台iPhone 6S等你来拿,只要你是当天用时最短的选手,就可现场拿着一部iPhone 6S,活动仅有两天(10月28日、29日),机会难得,给自己一个机会,或许就会收获一份惊喜。 如果你身边刚好有台隔离器,那就赶紧去试试吧,提前加强下练习,iPhone 6S大奖就会离你更近。本次挑战赛本着公平公正的原则,不管你是参赛还是围观,都非常欢迎你来现场进行监督(展位号:B3-057),此次挑战赛面向全球,不限国籍、不限性别、不限年龄,真诚欢迎大家都来参与,共同分享喜悦!报名方式:0571-86589125 www.tailingood.com
  • 集成电路行业的新技术、新产业、新业态、新模式发展情况
    1、集成电路行业新技术发展情况①集成电路制造的新技术发展 A、集成电路制造逻辑工艺技术 集成电路制造需要在高度精密的设备下进行,经过光刻、刻蚀、离子注入等工艺步骤反复几十次甚至上百次的循环,最终实现从光掩模上复杂的电路结构到晶圆上集成电路图形的转移,在指甲盖大小的空间中集成了数公里长的导线和数以亿计的晶体管器件,这些图形的最小宽度甚至不到头发丝直径的千分之一。 集成电路行业在经历数十年的发展后,目前已经进入后摩尔时代,随着先进光刻技术、3D 封装技术等不断涌现,各种先进工艺不断改进和完善,集成电路已由本世纪初的 0.35 微米的 CMOS 工艺发展至纳米级FinFET工艺。 全球最先进的量产集成电路制造工艺已经达到7纳米至5纳米,3纳米技术有望在2022年前后进入市场。同时,作为集成电路的衬底,晶圆的直径已经由最初的 6 英寸、8 英寸增长到现在的12英寸。 B、集成电路制造特色工艺技术近年来,随着新兴应用的推陈出新,对除逻辑电路以外的其他集成电路和半导体器件类型都提出了更高的要求,举例如下: 高清电视、AMOLED 手机等设备上所搭载的愈发强大的显示面板技术,推动静态随机存储器的存储上限从早期的10Mb、64Mb不断演变至目前最先进的128Mb,驱动着工艺节点的不断升级,将静态随机存储器的工艺节点从早期的80纳米、55纳米、40纳米,升级至目前先进的28纳米。 高速非易失性存储在市场的驱动下快速演进,其从最早的8Mb快速成长至如今的48纳米工艺节点256Mb。嵌入式非挥发性存储芯片因广泛应用于汽车电子、消费电子、工业及无线通讯领域中,从 0.18微米迅速发展到40 纳米的工艺节点,向着面积更小、速度更快的方向前进。 ②设计服务与IP支持 集成电路技术的不断发展推动了设计服务领域的技术革新。随着 FinFET DTCO 技术的推出,设计服务可以与工艺开发深度协同,从设计的角度对工艺设计规则、后端布线规则、器件种类等进行优化,基于优化成果提供更好的设计服务,令其产品更具竞争力。 此外,由于传统静态随机存储器在功耗、速度和面积等方面存在技术瓶颈,设计服务厂商开始提供新一代存储 IP 解决方案(如 MRAM 等),以解决高性能计算对片内大容量高速度存储器的需求及物联网应用对非挥发存储器的需求。 FinFET 工艺持续发展所产生的晶体管线宽限制与日趋复杂的设计规则,也对模拟、混合信号电路的设计带来较大程度限制。在符合设计规则的前提下,市场推出了基于模板的设计服务技术与模块,使得客户设计如同搭积木式一般,而不用受制于复杂的设计规则,节约了电路设计和后端版图时间。 ③光掩模制造 光掩模作为集成电路制造中光刻环节必不可少的核心工具,其制造技术的发展随着光刻技术的发展而演变。光掩模的类型从早期的二元掩模发展成相位移掩模,其图形传递介质从金属铬进化成钼硅材料。近年来,随着极紫外光刻(EUV)技术的引入,光掩模从传统的透射型基材转变为反射型基材,结构的复杂程度和制造的难度成倍增加。 随着光掩模上所绘电路图形尺寸不断缩小,晶体管等器件的密集度不断提高,传统的电子束描画设备完成单张光掩模描画的时间不断增加,单张 EUV 掩模的描画时间甚至可达数日之久,对光掩模的研发和制造提出了极高的挑战。多重电子束描画技术的出现和日益成熟为解决上述难题提供了新途径,该技术运用数十万根电子束同时描画互不干扰,既能保证图形精度,又能将 EUV 掩模描画时间控制在可接受的范围之内,在很大程度上提高了先进技术节点的研发效率和商业量产能力。 ④凸块加工及测试 集成电路封装作为集成电路产业链中不可或缺的环节,一直伴随着集成电路工艺技术的不断发展而变化。 传统封装的作用包含对芯片的支撑与机械保护、电信号的互连与引出、电源的分配和热管理等。传统封装形式主要是利用引线框架或基板作为载体,采用引线键合互连的形式使电路与外部器件实现连接。 随着集成电路制造工艺技术的不断发展,对端口密度、信号延迟及封装体积等提出了越来越高的要求,促进了先进封装如凸块、倒装、硅穿孔、2.5D、3D等新封装工艺及封装形式的出现和发展。 相对于引线键合工艺,凸块工艺是通过高精密曝光、离子处理、电镀等设备和材料,基于定制的光掩模,在晶圆上实现重布线,允许芯片有更高的端口密度,缩短了信号传输路径,减少了信号延迟,具备了更优良的热传导性及可靠性。凸块工艺配合倒装技术带来封装体积的缩小,实现了芯片级封装。凸块工艺、三维芯片系统集成等先进封装工艺实现了各种晶圆级封装和系统级封装,成为拓展摩尔定律的另外一种实现方式。2、集成电路行业新产业发展情况 集成电路是信息产业的基础,涉及计算机、家用电器、数码电子、电气、通信、交通、医疗、航空航天等几乎所有电子设备领域。近年来,集成电路应用领域随着科技进步不断延展,5G、物联网、人工智能、智能驾驶、云计算和大数据、机器人和无人机等新兴领域蓬勃发展,为集成电路产业带来新的机遇。 ①5G 根据中国信通院《5G 经济社会影响白皮书》预测,5G 商用预计在 2020 年带动中国市场约 4,840 亿元的直接产出,并于 2030 年增长至 6.3 万亿元,年均复合增长率为 29%。5G 的正式商用化将为新型芯片的上市带来更多机遇和挑战。 ②物联网 强化的数据传输、边缘计算和云分析功能的综合要求将带动物联网的加速发展,并推动信息链接、收集、计算和处理等 4 个方面功能芯片的不断优化和升级。 ③人工智能 目前全球人工智能正在经历新的发展浪潮,基于云计算和大数据的人工智能采用深度学习算法,能拥有更强的计算能力进行数据分析。人工智能对数据运算、存储和传输的需求越来越高,推动芯片设计和制造水平的不断升级。 ④智能驾驶 汽车电子系统中,智能驾驶辅助系统和车联网系统很大程度上决定了汽车智能化的程度,其对车用芯片的技术水平提出了更高的要求。 ⑤云计算和大数据 云计算和大数据为人工智能和机器学习发展奠定了基础,云计算和大数据的持续发展对于高性能计算芯片和大容量存储芯片提出了新的要求。 ⑥机器人和无人机未来,全球机器人和无人机芯片市场将快速增长,相关应用将会深入到生产、生活等各个领域,为半导体市场带来多样化的需求。 3、集成电路行业新业态与新模式发展情况 集成电路行业在经过多年发展后已形成了相对固定的寡头竞争格局与相对稳定的业态和模式。伴随技术进步、行业竞争和市场需求的不断变化,集成电路产业在经历了多次结构调整后,已逐渐由集成电路设计、制造以及封装测试只能在公司内部一体化完成的垂直整合制造模式演变为垂直分工的多个专业细分产业,发展历程如下:集成电路制造企业的经营模式主要包括两种:一种是 IDM 模式,即垂直整合制造模式,其涵盖了产业链的集成电路设计、制造、封装测试等所有环节;另一种是 Foundry 模式,即晶圆代工模式,仅专注于集成电路制造环节。 垂直整合制造模式下的集成电路企业拥有集成电路设计部门、晶圆厂、封装测试厂,属于典型的重资产模式,对研发能力、资金实力和技术水平都有很高的要求,因而采用垂直整合制造模式的企业大多为全球芯片行业的传统巨头,包括英特尔、三星电子等。晶圆代工模式源于集成电路产业链的专业化分工,形成无晶圆厂设计公司、晶圆代工企业、封装测试企业。其中,无晶圆厂设计公司为市场需求服务,从事集成电路设计和销售业务。晶圆代工企业以及封装测试企业为这类设计公司服务。目前,世界领先的晶圆代工企业有台积电、格罗方德、联华电子和中芯国际等。自上世纪八十年代晶圆代工模式诞生以来,晶圆代工市场经过 30 多年发展,已成为全球半导体产业中不可或缺的核心环节。根据 IC Insights 统计,2018 年,全球晶圆代工行业市场规模为 576 亿美元,较 2017 年的 548 亿美元增长 5.11%,2013 年至 2018 年的年均复合增长率为 9.73%。通过与无晶圆厂设计公司等客户形成共生关系,晶圆代工企业能在第一时间受益于新兴应用的增长红利。中国大陆晶圆代工行业起步较晚,但发展速度较快。根据中国半导体行业协会统计,2018 年中国集成电路产业制造业实现销售额 1,818 亿元人民币,同比增长 25.55%,相较于 2013 年的 601 亿元人民币,复合增长率达 24.78%,实现高速稳定增长。(节选自《中芯国际集成电路制造有限公司首次公开发行人民币普通股(A 股)股票并在科创板上市招股说明书》)
  • 进口额近千亿元!2023年1-6月半导体设备进口数据盘点
    半导体作为最重要的产业之一,每年为全球贡献近五千亿美金的产值,可以毫不夸张的说,半导体技术无处不在。俗话说:巧妇难为无米之炊,半导体设备作为制造半导体器件和芯片的基础,在半导体产业中扮演着举足轻重的地位。然而,我国半导体仪器设备目前仍以进口为主,其中以日本、荷兰、美国厂商为主。通过分析半导体设备的进出口情况,可以从侧面反映出中国半导体设备市场的一些情况。仪器信息网特对2023年1-6月,海关半导体制造设备进口数据进行了分析汇总,为大家了解中国目前半导体设备市场做一个参考。(本次统计涵盖了HS编码8486的品目下的商品)各类商品进口额统计商品名称进口额(元)品目8486所列设备用未列名零件及附件12825615066制造半导体器件或IC的化学气相沉积装置12791743260其他投影绘制电路图的制半导体件或IC的装置12067788695制造半导体器件或IC的等离子体干法刻蚀机9400231354制半导体器件或集成电路用的分步重复光刻机6842857010其他制半导体器件或集成电路用的机器及装置6802024850制半导体器件或IC的氧化扩散等热处理设备5642867083制造半导体器件或集成电路用的离子注入机3612869663制造半导体器件或IC的物理气相沉积装置3382984288章注释11(3)规定的其他装配封装机器及装置3110456675其他升降、装卸、搬运单晶柱等的装置2810216361制造半导体器件或IC的其他刻蚀及剥离设备2058257555制造单晶柱或晶圆用的化学机械抛光设备1947901894其他制造平板显示器用的机器及装置1831802137集成电路工厂专用的自动搬运机器人1780386603制作和修复掩膜版(mask)或投影掩膜版(reticle)的装置1682797055制造单晶柱或晶圆用的研磨设备1585602635装配与封装半导体件或集成电路引线键合装置1570744073其他制半导体件或集成电路用薄膜沉积设备1137617627制造单晶柱或晶圆用的切割设备1124248002其他制造单晶柱或晶圆用的机器及装置1036601590其他投影绘制电路图的制造平板显示器的装置887068699带背板的溅射靶材组件718496455利用温度变化处理单晶硅的机器及装置357733311制平板显示器用的其他湿法蚀刻、显影等装置325988169装配与封装半导体器件或集成电路的塑封机294009658制造平板显示器用的化学气相沉积设备(CVD)293836177制造平板显示器用的物理气相沉积设备(PVD)286750189制造平板显示器的扩散、氧化等热处理设备253817488本章注释11(3)规定的机器用零件及附件208491945引线键合装置用零件及附件139329204制造平板显示器用的分布重复光刻机64797902制造平板显示器用的超声波清洗装置37710864半导体制造设备包括CVD、PVD、光刻机、刻蚀机等设备。根据海关数据统计分析发现,上半年主要进口的设备是制造半导体器件或IC的化学气相沉积装置、其他投影绘制电路图的制半导体件或IC的装置和制造半导体器件或IC的等离子体干法刻蚀机。2023年1-6月半导体设备海关进口贸易伙伴金额分布图根据海关数据,上半年我国主要从日本、荷兰、新加坡、美国、韩国、中国台湾等国家和地区进口半导体设备。同时,值得注意的是,日本占据了几乎三分之一的进口份额,我国对日本依赖严重。数据显示,进口日本的设备主要是制造半导体器件或IC的等离子体干法刻蚀机。目前等离子体刻蚀机的主要生产企业为Lam、AMAT和东京电子。去年美国颁布芯片法案,对中国实施半导体设备限制,这可能也导致厂商将设备进口配额转向日本。新加坡并非主要的半导体设备生产国,但却占据了进口额的14%,这可能来自于转口贸易。新加坡,一直是转口贸易发达的地区,全球物流的中枢地带。目前,新加坡已与超过25个国家和国际组织签署自由贸易协定(FTA),其中包含全球主要的国际贸易市场,如欧盟、美国、日本等,囊括货物贸易、服务贸易和投资领域。这些自由贸易协定,能够帮助国际贸易的参与者降低成本、降低进入新市场的难度、保持业务的稳定性。随着国际贸易的逐渐发展和全球化的深化,转口贸易已经成为了中国的一个重要的贸易模式。同时,这也是为了规避贸易制裁风险。2022与2023年1-6月半导体设备各月进口趋势变化对2022年和2023年上半年半导体设备进口额逐月数据分析发现,2023年上半年半导体设备的进口数量呈现出波动增加的趋势,在四五月份出现一定的下降趋势,五月份出现低谷。而去年在三四五月份呈平缓状态,五月后却呈现明显下降趋势。2022年的变化可能上海的疫情有关,2023年的四五月的明显下降趋势和低谷则可能和日本限制半导体设备出口有关。进一步分析日本半导体设备进口额可以看到,进口自日本的半导体设备四五月呈现明显的下降趋势。日本政府3月31日宣布,将修订外汇与外贸法相关法令,拟对用于芯片制造的六大类23项先进芯片制造设备追加出口管制。这23项先进芯片制造设备涉及六大类,包括3项清洗设备、11项薄膜沉积设备、1项热处理设备、4项光刻/曝光设备、3项刻蚀设备、1项测试设备。2023年1-6月半导体设备进口额各注册地分布通过海关进口企业注册地数据,可以大致了解到进口半导体制造设备在国内的“落脚地”。可以看出,上半年,上海、江苏和湖北等省市的进口半导体制造设备的金额最多,而这些地区也是我国经济较发达,半导体产业比较发达的省份和地区,仅上海一地上半年的半导体设备进口额就达到了188亿元。
  • 专家约稿|碳化硅功率器件封装与可靠性测试
    1. 研究背景及意义碳化硅(SiC)是一种宽带隙(WBG)的半导体材料,目前已经显示出有能力满足前述领域中不断发展的电力电子的更高性能要求。在过去,硅(Si)一直是最广泛使用的功率开关器件的半导体材料。然而,随着硅基功率器件已经接近其物理极限,进一步提高其性能正成为一个巨大的挑战。我们很难将它的阻断电压和工作温度分别限制在6.5kV和175℃,而且相对于碳化硅器件它的开关速度相对较慢。另一方面,由SiC制成的器件在过去几十年中已经从不成熟的实验室原型发展成为可行的商业产品,并且由于其高击穿电压、高工作电场、高工作温度、高开关频率和低损耗等优势被认为是Si基功率器件的替代品。除了这些性能上的改进,基于SiC器件的电力电子器件有望通过最大限度地减少冷却要求和无源元件要求来实现系统的体积缩小,有助于降低整个系统成本。SiC的这些优点与未来能源转换应用中的电力电子器件的要求和方向非常一致。尽管与硅基器件相比SiC器件的成本较高,但SiC器件能够带来的潜在系统优势足以抵消增加的器件成本。目前SiC器件和模块制造商的市场调查显示SiC器件的优势在最近的商业产品中很明显,例如SiC MOSFETs的导通电阻比Si IGBT的导通电阻小四倍,并且在每三年内呈现出-30%的下降趋势。与硅同类产品相比,SiC器件的开关能量小10-20倍,最大开关频率估计高20倍。由于这些优点,预计到2022年,SiC功率器件的总市场将增长到10亿美元,复合年增长率(CAGR)为28%,预计最大的创收应用是在混合动力和电动汽车、光伏逆变器和工业电机驱动中。然而,从器件的角度来看,挑战和问题仍然存在。随着SiC芯片有效面积的减少,短路耐久时间也趋于减少。这表明在稳定性、可靠性和芯片尺寸之间存在着冲突。而且SiC器件的现场可靠性并没有在各种应用领域得到证明,这些问题直接导致SiC器件在电力电子市场中的应用大打折扣。另一方面,生产高质量、低缺陷和较大的SiC晶圆是SiC器件制造的技术障碍。这种制造上的困难使得SiC MOSFET的每年平均销售价格比Si同类产品高4-5倍。尽管SiC材料的缺陷已经在很大程度上被克服,但制造工艺还需要改进,以使SiC器件的成本更加合理。最近几年大多数SiC器件制造大厂已经开始使用6英寸晶圆进行生产。硅代工公司X-fab已经升级了其制造资源去适应6英寸SiC晶圆,从而为诸如Monolith这类无晶圆厂的公司提供服务。这些积极的操作将导致SiC器件的整体成本降低。图1.1 SiC器件及其封装的发展图1.1展示了SiC功率器件及其封装的发展里程碑。第一个推向市场的SiC器件是英飞凌公司在2001年生产的肖特基二极管。此后,其他公司如Cree和Rohm继续发布各种额定值的SiC二极管。2008年,SemiSouth公司生产了第一个SiC结点栅场效应晶体管(JFET),在那个时间段左右,各公司开始将SiC肖特基二极管裸模集成到基于Si IGBT的功率模块中,生产混合SiC功率模块。从2010年到2011年,Rohm和Cree推出了第一个具有1200V额定值的分立封装的SiC MOSFET。随着SiC功率晶体管的商业化,Vincotech和Microsemi等公司在2011年开始使用SiC JFET和SiC二极管生产全SiC模块。2013年,Cree推出了使用SiC MOSFET和SiC二极管的全SiC模块。此后,其他器件供应商,包括三菱、赛米控、富士和英飞凌,自己也发布了全SiC模块。在大多数情况下,SiC器件最初是作为分立元件推出的,而将这些器件实现为模块封装是在最初发布的几年后开发的。这是因为到目前为止分立封装的制造过程比功率模块封装要简单得多。另一个原因也有可能是因为发布的模块已经通过了广泛的标准JEDEC可靠性测试资格认证,这代表器件可以通过2000万次循环而不发生故障,因此具有严格的功率循环功能。而且分离元件在设计系统时具有灵活性,成本较低,而模块的优势在于性能较高,一旦有了产品就容易集成。虽然SiC半导体技术一直在快速向前发展,但功率模块的封装技术似乎是在依赖过去的惯例,这是一个成熟的标准。然而,它并没有达到充分挖掘新器件的潜力的速度。SiC器件的封装大多是基于陶瓷基底上的线接合方法,这是形成多芯片模块(MCM)互连的标准方法,因为它易于使用且成本相对较低。然而,这种标准的封装方法由于其封装本身的局限性,已经被指出是向更高性能系统发展的技术障碍。首先,封装的电寄生效应太高,以至于在SiC器件的快速开关过程中会产生不必要的损失和噪音。第二,封装的热阻太高,而热容量太低,这限制了封装在稳态和瞬态的散热性能。第三,构成封装的材料和元件通常与高温操作(200℃)不兼容,在升高的操作温度下,热机械可靠性恶化。最后,对于即将到来的高压SiC器件,承受高电场的能力是不够的。这些挑战的细节将在第二节进一步阐述。总之,不是器件本身,而是功率模块的封装是主要的限制因素之一,它阻碍了封装充分发挥SiC元件的优势。因此,应尽最大努力了解未来SiC封装所需的特征,并相应地开发新型封装技术去解决其局限性。随着社会的发展,环保问题与能源问题愈发严重,为了提高电能的转化效率,人们对于用于电力变换和电力控制的功率器件需求强烈[1, 2]。碳化硅(SiC)材料作为第三代半导体材料,具有禁带宽度大,击穿场强高、电子饱和速度大、热导率高等优点[3]。与传统的Si器件相比,SiC器件的开关能耗要低十多倍[4],开关频率最高提高20倍[5, 6]。SiC功率器件可以有效实现电力电子系统的高效率、小型化和轻量化。但是由于SiC器件工作频率高,而且结电容较小,栅极电荷低,这就导致器件开关时,电压和电流变化很大,寄生电感就极易产生电压过冲和振荡现象,造成器件电压应力、损耗的增加和电磁干扰问题[7, 8]。还要考虑极端条件下的可靠性问题。为了解决这些问题,除了器件本身加以改进,在封装工艺上也需要满足不同工况的特性要求。起先,电力电子中的SiC器件是作为分立器件生产的,这意味着封装也是分立的。然而SiC器件中电压或电流的限制,通常工作在低功耗水平。当需求功率达到100 kW或更高时,设备往往无法满足功率容量要求[9]。因此,需要在设备中连接和封装多个SiC芯片以解决这些问题,并称为功率模块封装[10, 11]。到目前为止,功率半导体的封装工艺中,铝(Al)引线键合封装方案一直是最优的封装结构[12]。传统封装方案的功率模块采用陶瓷覆铜板,陶瓷覆铜板(Direct Bonding Copper,DBC)是一种具有两层铜的陶瓷基板,其中一层图案化以形成电路[13]。功率半导体器件底部一般直接使用焊料连接到DBC上,顶部则使用铝引线键合。底板(Baseplate)的主要功能是为DBC提供支撑以及提供传导散热的功能,并与外部散热器连接。传统封装提供电气互连(通过Al引线与DBC上部的Cu电路键合)、电绝缘(使用DBC陶瓷基板)、器件保护(通过封装材料)和热管理(通过底部)。这种典型的封装结构用于目前制造的绝大多数电源模块[14]。传统的封装方法已经通过了严格的功率循环测试(2000万次无故障循环),并通过了JEDEC标准认证[15]。传统的封装工艺可以使用现有的设备进行,不需要额外开发投资设备。传统的功率模块封装由七个基本元素组成,即功率半导体芯片、绝缘基板、底板、粘合材料、功率互连、封装剂和塑料外壳,如图1.2所示。模块中的这些元素由不同的材料组成,从绝缘体、导体、半导体到有机物和无机物。由于这些不同的材料牢固地结合在一起,为每个元素选择适当的材料以形成一个坚固的封装是至关重要的。在本节中,将讨论七个基本元素中每个元素的作用和流行的选择以及它们的组装过程。图1.2标准功率模块结构的横截面功率半导体是功率模块中的重要元素,通过执行电气开/关开关将功率从源头转换到负载。标准功率模块中最常用的器件类型是MOSFETs、IGBTs、二极管和晶闸管。绝缘衬底在半导体元件和终端之间提供电气传导,与其他金属部件(如底板和散热器)进行电气隔离,并对元件产生的热量进行散热。直接键合铜(DBC)基材在传统的电源模块中被用作绝缘基材,因为它们具有优良的性能,不仅能满足电气和热的要求,而且还具有机械可靠性。在各种候选材料中,夹在两层铜之间的陶瓷层的流行材料是Al2O3,AlN,Si2N4和BeO。接合材料的主要功能是通过连接每个部件,在半导体、导体导线、端子、基材和电源模块的底板之间提供机械、热和电的联系。由于其与电子组装环境的兼容性,SnPb和SnAgCu作为焊料合金是最常用的芯片和基片连接材料。在选择用于功率模块的焊料合金时,需要注意的重要特征是:与使用温度有关的熔化温度,与功率芯片的金属化、绝缘衬底和底板的兼容性,高机械强度,低弹性模量,高抗蠕变性和高抗疲劳性,高导热性,匹配的热膨胀系数(CTE),成本和环境影响。底板的主要作用是为绝缘基板提供机械支持。它还从绝缘基板上吸收热量并将其传递给冷却系统。高导热性和低CTE(与绝缘基板相匹配)是对底板的重要特性要求。广泛使用的底板材料是Cu,AlSiC,CuMoCu和CuW。导线键合的主要作用是在模块的功率半导体、导体线路和输入/输出终端之间进行电气连接。器件的顶面连接最常用的材料是铝线。对于额定功率较高的功率模块,重铝线键合或带状键合用于连接功率器件的顶面和陶瓷基板的金属化,这样可以降低电阻和增强热能力。封装剂的主要目的是保护半导体设备和电线组装的组件免受恶劣环境条件的影响,如潮湿、化学品和气体。此外,封装剂不仅在电线和元件之间提供电绝缘,以抵御电压水平的提高,而且还可以作为一种热传播媒介。在电源模块中作为封装剂使用的材料有硅凝胶、硅胶、聚腊烯、丙烯酸、聚氨酯和环氧树脂。塑料外壳(包括盖子)可以保护模块免受机械冲击和环境影响。因为即使电源芯片和电线被嵌入到封装材料中,它们仍然可能因处理不当而被打破或损坏。同时外壳还能机械地支撑端子,并在端子之间提供隔离距离。热固性烯烃(DAP)、热固性环氧树脂和含有玻璃填料的热塑性聚酯(PBT)是塑料外壳的最佳选择。传统电源模块的制造过程开始于使用回流炉在准备好的DBC基片上焊接电源芯片。然后,许多这些附有模具的DBC基板也使用回流焊工艺焊接到一个底板上。在同一块底板上,用胶水或螺丝钉把装有端子的塑料外壳连接起来。然后,正如前面所讨论的那样,通过使用铝线进行电线连接,实现电源芯片的顶部、DBC的金属化和端子之间的连接。最后,用分配器将封装材料沉积在元件的顶部,并在高温下固化。前面所描述的结构、材料和一系列工艺被认为是功率模块封装技术的标准,在目前的实践中仍被广泛使用。尽管对新型封装方法的需求一直在持续,但技术变革或采用是渐进的。这种对新技术的缓慢接受可以用以下原因来解释。首先,人们对与新技术的制造有关的可靠性和可重复性与新制造工艺的结合表示担忧,这需要时间来解决。因此,考虑到及时的市场供应,模块制造商选择继续使用成熟的、广为人知的传统功率模块封装技术。第二个原因是传统电源模块的成本效益。由于传统电源模块的制造基础设施与其他电子器件封装环境兼容,因此不需要与开发新材料和设备有关的额外成本,这就大大降低了工艺成本。尽管有这些理由坚持使用标准的封装方法,但随着半导体趋势从硅基器件向碳化硅基器件的转变,它正显示出局限性并面临着根本性的挑战。使用SiC器件的最重要的优势之一是能够在高开关频率下工作。在功率转换器中推动更高的频率背后的主要机制是最大限度地减少整个系统的尺寸,并通过更高的开关频率带来的显著的无源尺寸减少来提高功率密度。然而,由于与高开关频率相关的损耗,大功率电子设备中基于硅的器件的开关频率通常被限制在几千赫兹。图1.3中给出的一个例子显示,随着频率的增加,使用Si-IGBT的功率转换器的效率下降,在20kHz时已经下降到73%。另一方面,在相同的频率下,SiC MOSFET的效率保持高达92%。从这个例子中可以看出,硅基器件在高频运行中显示出局限性,而SiC元件能够在更高频率下运行时处理高能量水平。尽管SiC器件在开关性能上优于Si器件对应产品,但如果要充分利用其快速开关的优势,还需要考虑到一些特殊的因素。快速开关的瞬态效应会导致器件和封装内部的电磁寄生效应,这正成为SiC功率模块作为高性能开关应用的最大障碍。图1.3 Si和SiC转换器在全额定功率和不同开关频率下的效率图1.4给出了一个半桥功率模块的电路原理图,该模块由高低两侧的开关和二极管对组成,如图1.4所示,其中有一组最关键的寄生电感,即主开关回路杂散电感(Lswitch)、栅极回路电感(Lgate)和公共源电感(Lsource)。主开关回路杂散电感同时存在于外部电源电路和内部封装互连中,而外部杂散电感对开关性能的影响可以通过去耦电容来消除。主开关回路杂散电感(Lswitch)是由直流+总线、续流二极管、MOSFET(或IGBT)和直流总线终端之间的等效串联电感构成的。它负责电压过冲,在关断期间由于电流下降而对器件造成严重的压力,负反馈干扰充电和向栅极源放电的电流而造成较慢的di/dt的开关损失,杂散电感和半导体器件的输出电容的共振而造成开关波形的振荡增加,从而导致EMI发射增加。栅极环路电感(Lgate)由栅极电流路径形成,即从驱动板到器件的栅极接触垫,以及器件的源极到驱动板的连接。它通过造成栅极-源极电压积累的延迟而降低了可实现的最大开关频率。它还与器件的栅极-源极电容发生共振,导致栅极信号的震荡。结果就是当我们并联多个功率芯片模块时,如果每个栅极环路的寄生电感不相同或者对称,那么在开关瞬间将产生电流失衡。共源电感(Lsource)来自主开关回路和栅极回路电感之间的耦合。当打开和关闭功率器件时,di/dt和这个电感上的电压在栅极电路中作为额外的(通常是相反的)电压源,导致di/dt的斜率下降,扭曲了栅极信号,并限制了开关速度。此外,共源电感可能会导致错误的触发事件,这可能会通过在错误的时间打开器件而损坏器件。这些寄生电感的影响在快速开关SiC器件中变得更加严重。在SiC器件的开关瞬态过程中会产生非常高的漏极电流斜率di/dt,而前面讨论的寄生电感的电压尖峰和下降也明显大于Si器件的。寄生电感的这些不良影响导致了开关能量损失的增加和可达到的最大开关频率的降低。开关瞬态的问题不仅来自于电流斜率di/dt,也来自于电压斜率dv/dt。这个dv/dt导致位移电流通过封装的寄生电容,也就是芯片和冷却系统之间的电容。图1.5显示了半桥模块和散热器之间存在的寄生电容的简化图。这种不需要的电流会导致对变频器供电的电机的可靠性产生不利影响。例如,汽车应用中由放电加工(EDM)引起的电机轴承缺陷会产生很大的噪声电流。在传统的硅基器件中,由于dv/dt较低,约为3 kV/µs,因此流经寄生电容的电流通常忽略不记。然而,SiC器件的dv/dt比Si器件的dv/dt高一个数量级,最高可达50 kV/µs,使通过封装电容的电流不再可以忽略。对Si和SiC器件产生的电磁干扰(EMI)的比较研究表明,由于SiC器件的快速开关速度,传导和辐射的EMI随着SiC器件的使用而增加。除了通过封装进入冷却系统的电流外,电容寄也会减缓电压瞬变,在开关期间产生过电流尖峰,并通过与寄生电感形成谐振电路而增加EMI发射,这是我们不希望看到的。未来的功率模块封装应考虑到SiC封装中的寄生和高频瞬变所带来的所有复杂问题和挑战。解决这些问题的主要封装级需要做到以下几点。第一,主开关回路的电感需要通过新的互连技术来最小化,以取代冗长的线束,并通过优化布局设计,使功率器件接近。第二,由于制造上的不兼容性和安全问题,栅极驱动电路通常被组装在与功率模块分开的基板上。应通过将栅极驱动电路与功率模块尽可能地接近使栅极环路电感最小化。另外,在平行芯片的情况下,布局应该是对称的,以避免电流不平衡。第三,需要通过将栅极环路电流与主开关环路电流分开来避免共源电感带来的问题。这可以通过提供一个额外的引脚来实现,例如开尔文源连接。第四,应通过减少输出端和接地散热器的电容耦合来减轻寄生电容中流动的电流,比如避免交流电位的金属痕迹的几何重叠。图1.4半桥模块的电路原理图。三个主要的寄生电感表示为Lswitch、Lgate和Lsource。图1.5半桥模块的电路原理图。封装和散热器之间有寄生电容。尽管目前的功率器件具有优良的功率转换效率,但在运行的功率模块中,这些器件产生的热量是不可避免的。功率器件的开关和传导损失在器件周围以及从芯片到冷却剂的整个热路径上产生高度集中的热通量密度。这种热通量导致功率器件的性能下降,以及器件和封装的热诱导可靠性问题。在这个从Si基器件向SiC基器件过渡的时期,功率模块封装面临着前所未有的散热挑战。图1.6根据额定电压和热阻计算出所需的总芯片面积在相同的电压和电流等级下,SiC器件的尺寸可以比Si器件小得多,这为更紧凑的功率模块设计提供了机会。根据芯片的热阻表达式,芯片尺寸的缩小,例如芯片边缘的长度,会导致热阻的二次方增加。这意味着SiC功率器件的模块化封装需要特别注意散热和冷却。图1.6展示了计算出所需的总芯片面积减少,这与芯片到冷却剂的热阻减少有关。换句话说,随着芯片面积的减少,SiC器件所需的热阻需要提高。然而,即使结合最先进的冷却策略,如直接冷却的冷板与针状翅片结构,假设应用一个70kVA的逆变器,基于DBC和线束的标准功率模块封装的单位面积热阻值通常在0.3至0.4 Kcm2/W之间。为了满足研究中预测的未来功率模块的性能和成本目标,该值需要低于0.2 Kcm2/W,这只能通过创新方法实现,比如双面冷却法。同时,小的芯片面积也使其难以放置足够数量的线束,这不仅限制了电流处理能力,也限制了热电容。以前对标准功率模块封装的热改进大多集中在稳态热阻上,这可能不能很好地代表开关功率模块的瞬态热行为。由于预计SiC器件具有快速功率脉冲的极其集中的热通量密度,因此不仅需要降低热阻,还需要改善热容量,以尽量减少这些快速脉冲导致的峰值温度上升。在未来的功率模块封装中,应解决因采用SiC器件而产生的热挑战。以下是未来SiC封装在散热方面应考虑的一些要求。第一,为了降低热阻,需要减少或消除热路中的一些封装层;第二,散热也需要从芯片的顶部完成以使模块的热阻达到极低水平,这可能需要改变互连方法,比如采用更大面积的接头;第三,封装层接口处的先进材料将有助于降低封装的热阻。例如,用于芯片连接和热扩散器的材料可以分别用更高的导热性接头和碳基复合材料代替。第四,喷射撞击、喷雾和微通道等先进的冷却方法可以用来提高散热能力。SiC器件有可能被用于预期温度范围极广的航空航天应用中。例如用于月球或火星任务的电子器件需要分别在-180℃至125℃和-120℃至85℃的广泛环境温度循环中生存。由于这些空间探索中的大多数电子器件都是基于类似地球的环境进行封装的,因此它们被保存在暖箱中,以保持它们在极低温度下的运行。由于SiC器件正在评估这些条件,因此需要开发与这些恶劣环境兼容的封装技术,而无需使用暖箱。与低温有关的最大挑战之一是热循环引起的大的CTE失配对芯片连接界面造成的巨大压力。另外,在室温下具有柔性和顺应性的材料,如硅凝胶,在-180℃时可能变得僵硬,在封装内产生巨大的应力水平。因此,SiC封装在航空应用中的未来方向首先是开发和评估与芯片的CTE密切匹配的基材,以尽量减少应力。其次,另一个方向应该是开发在极低温度下保持可塑性的芯片连接材料。在最近的研究活动中,在-180℃-125℃的极端温度范围内,对分别作为基材和芯片附件的SiN和Indium焊料的性能进行了评估和表征。为进一步推动我国能源战略的实施,提高我国在新能源领域技术、装备的国际竞争力,实现高可靠性碳化硅 MOSFET 器件中试生产技术研究,研制出满足移动储能变流器应用的多芯片并联大功率MOSFET 器件。本研究将通过寄生参数提取、建模、仿真及测试方式研究 DBC 布局、多栅极电阻等方式对芯片寄生电感与均流特性的影响,进一步提高我国碳化硅器件封装及测试能力。2. SiC MOSFET功率模块设计技术2.1 模块设计技术介绍在MOSFET模块设计中引入软件仿真环节,利用三维电磁仿真软件、三维温度场仿真软件、三维应力场仿真软件、寄生参数提取软件和变流系统仿真软件,对MOSFET模块设计中关注的电磁场分布、热分布、应力分布、均流特性、开关特性、引线寄生参数对模块电特性影响等问题进行仿真,减小研发周期、降低设计研发成本,保证设计的产品具备优良性能。在仿真基础上,结合项目团队多年从事电力电子器件设计所积累的经验,解决高压大功率MOSFET模块设计中存在的多片MOSFET芯片和FRD芯片的匹配与均流、DBC版图的设计与芯片排布设计、电极结构设计、MOSFET模块结构设计等一系列难题,最终完成模块产品的设计。高压大功率MOSFET模块设计流程如下:图2.1高压大功率MOSFET模块设计流程在MOSFET模块设计中,需要综合考虑很多问题,例如:散热问题、均流问题、场耦合问题、MOSFET模块结构优化设计问题等等。MOSFET芯片体积小,热流密度可以达到100W/cm2~250W/cm2。同时,基于硅基的MOSFET芯片最高工作温度为175℃左右。据统计,由于高温导致的失效占电力电子芯片所有失效类型的50%以上。随电力电子器件设备集成度和环境集成度的逐渐增加,MOSFET模块的最高温升限值急剧下降。因此,MOSFET模块的三维温度场仿真技术是高效率高功率密度MOSFET模块设计开发的首要问题。模块散热能力与众多因素有关:MOSFET模块所用材料的物理和化学性质、MOSFET芯片的布局、贴片的质量、焊接的工艺水平等。如果贴片质量差,有效散热面积小,芯片与DBC之间的热阻大,在模块运行时易造成模块局部过热而损坏。另外,芯片的排布对热分布影响也很大。下图4.2是采用有限元软件对模块内部的温度场进行分析的结果:图2.2 MOSFET模块散热分布分析在完成结构设计和材料选取后,采用ANSYS软件的热分析模块ICEPAK,建立包括铜基板、DBC、MOSFET芯片、二极管芯片以及包括铝质键合引线在内的相对完整的数值模拟模型。模拟实际工作条件,施加相应的载荷,得到MOSFET的温度场分布,根据温度场分布再对MOSFET内部结构和材料进行调整,直至达到设计要求范围内的最优。2.2 材料数据库对一个完整的焊接式MOSFET模块而言,从上往下为一个 8层结构:绝缘盖板、密封胶、键合、半导体芯片层、焊接层 1、DBC、焊接层 2、金属底板。MOSFET模块所涉及的主要材料可分为以下几种类型:导体、绝缘体、半导体、有机物和无机物。MOSFET模块的电、热、机械等性能与材料本身的电导率、热导率、热膨胀系数、介电常数、机械强度等密切相关。材料的选型非常重要,为此有必要建立起常用的材料库。2.3 芯片的仿真模型库所涉及的MOSFET芯片有多种规格,包括:1700V 75A/100A/125A;2500V/50A;3300V/50A/62.5A;600V/100A;1200V/100A;4500V/42A;6500V/32A。为便于合理地进行芯片选型(确定芯片规格及其数量),精确分析多芯片并联时的均流性能,首先为上述芯片建立等效电路模型。在此基础上,针对实际电力电子系统中的滤波器、电缆和电机负载模型,搭建一个系统及的仿真平台,从而对整个系统的电气性能进行分析预估。2.4 MOSFET模块的热管理MOSFET模块是一个含不同材料的密集封装的多层结构,其热流密度达到100W/cm2--250W/cm2,模块能长期安全可靠运行的首要因素是良好的散热能力。散热能力与众多因素有关:MOSFET模块所用材料的物理和化学性质、MOSFET芯片的布局、贴片的质量、焊接的工艺水平等。如果贴片质量差,有效散热面积小,芯片与DBC之间的热阻大,在模块运行时易造成模块局部过热而损坏。芯片可靠散热的另一重要因素是键合的长度和位置。假设散热底板的温度分布均匀,而每个MOSFET芯片对底板的热阻有差异,导致在相同工况时,每个MOSFET芯片的结温不同。下图是采用有限元软件对模块内部的温度场进行分析的结果。图2.3MOSFET模块热分布在模块完成封装后,采用FLOTHERM软件的热分析模块,建立包括铜基板、DBC、MOSFET芯片、二极管芯片以及包括铝质键合引线在内的相对完整的数值模拟模型。模拟实际工作条件,施加相应的载荷,得到MOSFET的温度场分布的数值解,为MOSFET温度场分布的测试提供一定的依据。2.5. 芯片布局与杂散参数提取根据MOSFET模块不同的电压和电流等级,MOSFET模块所使用芯片的规格不同,芯片之间的连接方式也不同。因此,详细的布局设计放在项目实施阶段去完成。对中低压MOSFET模块和高压MOSFET模块,布局阶段考虑的因素会有所不同,具体体现在DBC与散热底板之间的绝缘、DBC上铜线迹之间的绝缘以及键合之间的绝缘等。2.6 芯片互联的杂散参数提取MOSFET芯片并联应用时的电流分配不均衡主要有两种:静态电流不均衡和动态电流不均衡。静态电流不均衡主要由器件的饱和压降VCE(sat)不一致所引起;而动态电流不均衡则是由于器件的开关时间不同步引起的。此外,栅极驱动、电路的布局以及并联模块的温度等因素也会影响开关时刻的动态均流。回路寄生电感特别是射极引线电感的不同将会使器件开关时刻不同步;驱动电路输出阻抗的不一致将引起充放电时间不同;驱动电路的回路引线电感可能引起寄生振荡;以及温度不平衡会影响到并联器件动态均流。2.7 模块设计专家知识库通过不同规格MOSFET模块的设计-生产-测试-改进设计等一系列过程,可以获得丰富的设计经验,并对其进行归纳总结,提出任意一种电压电流等级的MOSFET模块的设计思路,形成具有自主知识产权的高压大功率MOSFET模块的系统化设计知识库。3. SiCMOSFET封装工艺3.1 封装常见工艺MOSFET模块封装工艺主要包括焊接工艺、键合工艺、外壳安装工艺、灌封工艺及测试等。3.1.1 焊接工艺焊接工艺在特定的环境下,使用焊料,通过加热和加压,使芯片与DBC基板、DBC基板与底板、DBC基板与电极达到结合的方法。目前国际上采用的是真空焊接技术,保证了芯片焊接的低空洞率。焊接要求焊接面沾润好,空洞率小,焊层均匀,焊接牢固。通常情况下.影响焊接质量的最主要因素是焊接“空洞”,产生焊接空洞的原因,一是焊接过程中,铅锡焊膏中助焊剂因升温蒸发或铅锡焊片熔化过程中包裹的气泡所造成的焊接空洞,真空环境可使空洞内部和焊接面外部形成高压差,压差能够克服焊料粘度,释放空洞。二是焊接面的不良加湿所造成的焊接空洞,一般情况下是由于被焊接面有轻微的氧化造成的,这包括了由于材料保管的不当造成的部件氧化和焊接过程中高温造成的氧化,即使真空技术也不能完全消除其影响。在焊接过程中适量的加人氨气或富含氢气的助焊气体可有效地去除氧化层,使被焊接面有良好的浸润性.加湿良好。“真空+气体保护”焊接工艺就是基于上述原理研究出来的,经过多年的研究改进,已成为高功率,大电流,多芯片的功率模块封装的最佳焊接工艺。虽然干式焊接工艺的焊接质量较高,但其对工艺条件的要求也较高,例如工艺设备条件,工艺环境的洁净程度,工艺气体的纯度.芯片,DBC基片等焊接表面的应无沾污和氧化情况.焊接过程中的压力大小及均匀性等。要根据实际需要和现场条件来选择合适的焊接工艺。3.1.2 键合工艺引线键合是当前最重要的微电子封装技术之一,目前90%以上的芯片均采用这种技术进行封装。超声键合原理是在超声能控制下,将芯片金属镀层和焊线表面的原子激活,同时产生塑性变形,芯片的金属镀层与焊线表面达到原子间的引力范围而形成焊接点,使得焊线与芯片金属镀层表面紧密接触。按照原理的不同,引线键合可以分为热压键合、超声键合和热压超声键合3种方式。根据键合点形状,又可分为球形键合和楔形键合。在功率器件及模块中,最常见的功率互连方法是引线键合法,大功率MOSFET模块采用了超声引线键合法对MOSFET芯片及FRD芯片进行互连。由于需要承载大电流,故采用楔形劈刀将粗铝线键合到芯片表面或DBC铜层表面,这种方法也称超声楔键合。外壳安装工艺:功率模块的封装外壳是根据其所用的不同材料和品种结构形式来研发的,常用散热性好的金属封装外壳、塑料封装外壳,按最终产品的电性能、热性能、应用场合、成本,设计选定其总体布局、封装形式、结构尺寸、材料及生产工艺。功率模块内部结构设计、布局与布线、热设计、分布电感量的控制、装配模具、可靠性试验工程、质量保证体系等的彼此和谐发展,促进封装技术更好地满足功率半导体器件的模块化和系统集成化的需求。外壳安装是通过特定的工艺过程完成外壳、顶盖与底板结构的固定连接,形成密闭空间。作用是提供模块机械支撑,保护模块内部组件,防止灌封材料外溢,保证绝缘能力。外壳、顶盖要求机械强度和绝缘强度高,耐高温,不易变形,防潮湿、防腐蚀等。3.1.3 灌封工艺灌封工艺用特定的灌封材料填充模块,将模块内组件与外部环境进行隔离保护。其作用是避免模块内部组件直接暴露于环境中,提高组件间的绝缘,提升抗冲击、振动能力。灌封材料要求化学特性稳定,无腐蚀,具有绝缘和散热能力,膨胀系数和收缩率小,粘度低,流动性好,灌封时容易达到模块内的各个缝隙,可将模块内部元件严密地封装起来,固化后能吸收震动和抗冲击。3.1.4 模块测试MOSFET模块测试包括过程测试及产品测试。其中过程测试通过平面度测试仪、推拉力测试仪、硬度测试仪、X射线测试仪、超声波扫描测试仪等,对产品的入厂和过程质量进行控制。产品测试通过平面度测试仪、动静态测试仪、绝缘/局部放电测试仪、高温阻断试验、栅极偏置试验、高低温循环试验、湿热试验,栅极电荷试验等进行例行和型式试验,确保模块的高可靠性。3.2 封装要求本项目的SiC MOSFET功率模块封装材料要求如下:(1)焊料选用需要可靠性要求和热阻要求。(2)外壳采用PBT材料,端子裸露部分表面镀镍或镀金。(3)内引线采用超声压接或铝丝键合(具体视装配图设计而定),功率芯片采用铝线键合。(4)灌封料满足可靠性要求,Tg150℃,能满足高低温存贮和温度循环等试验要求。(5)底板采用铜材料。(6)陶瓷覆铜板采用Si3N4材质。(7)镀层要求:需保证温度循环、盐雾、高压蒸煮等试验后满足外观要求。3.3 封装流程本模块采用既有模块进行封装,不对DBC结构进行调整。模块封装工艺流程如下图3.1所示。图3.1模块封装工艺流程(1)芯片CP测试:对芯片进行ICES、BVCES、IGES、VGETH等静态参数进行测试,将失效的芯片筛选出来,避免因芯片原因造成的封装浪费。(2)划片&划片清洗:将整片晶圆按芯片大小分割成单一的芯片,划片后可从晶圆上将芯片取下进行封装;划片后对金属颗粒进行清洗,保证芯片表面无污染,便于后续工艺操作。(3)丝网印刷:将焊接用的焊锡膏按照设计的图形涂敷在DBC基板上,使用丝网印刷机完成,通过工装钢网控制锡膏涂敷的图形。锡膏图形设计要充分考虑焊层厚度、焊接面积、焊接效果,经过验证后最终确定合适的图形。(4)芯片焊接:该步骤主要是完成芯片与 DBC 基板的焊接,采用相应的焊接工装,实现芯片、焊料和 DBC 基板的装配。使用真空焊接炉,采用真空焊接工艺,严格控制焊接炉的炉温、焊接气体环境、焊接时间、升降温速度等工艺技术参数,专用焊接工装完成焊接工艺,实现芯片、DBC 基板的无空洞焊接,要求芯片的焊接空洞率和焊接倾角在工艺标准内,芯片周围无焊球或堆焊,焊接质量稳定,一致性好。(5)助焊剂清洗:通过超声波清洗去除掉助焊剂。焊锡膏中一般加入助焊剂成分,在焊接过程中挥发并残留在焊层周围,因助焊剂表现为酸性,长期使用对焊层具有腐蚀性,影响焊接可靠性,因此需要将其清洗干净,保证产品焊接汉城自动气相清洗机采用全自动浸入式喷淋和汽相清洗相结合的方式进行子单元键合前清洗,去除芯片、DBC 表面的尘埃粒子、金属粒子、油渍、氧化物等有害杂质和污染物,保证子单元表面清洁。(6) X-RAY检测:芯片的焊接质量作为产品工艺控制的主要环节,直接影响着芯片的散热能力、功率损耗的大小以及键合的合格率。因此,使用 X-RAY 检测机对芯片焊接质量进行检查,通过调整产生 X 射线的电压值和电流值,对不同的焊接产品进行检查。要求 X 光检查后的芯片焊接空洞率工艺要求范围内。(7)芯片键合:通过键合铝线工艺,完成 DBC 和芯片的电气连接。使用铝线键合机完成芯片与 DBC 基板对应敷铜层之间的连接,从而实现芯片之间的并联和反并联。要求该工序结合芯片的厚度参数和表面金属层参数,通过调整键合压力,键合功率,键合时间等参数,并根据产品的绝缘要求和通流大小,设置合适的键合线弧高和间距,打线数量满足通流要求,保证子单元的键合质量。要求键合工艺参数设定合理、铝线键合质量牢固,键合弧度满足绝缘要求、键合点无脱落,满足键合铝线推拉力测试标准。(8)模块焊接:该工序实现子单元与电极、底板的二次焊接。首先进行子单元与电极、底板的焊接装配,使用真空焊接炉实现焊接,焊接过程中要求要求精确控制焊接设备的温度、真空度、气体浓度。焊接完成后要求子单元 DBC 基板和芯片无损伤、无焊料堆焊、电极焊脚之间无连焊虚焊、键合线无脱落或断裂等现象。(9)超声波检测:该工序通过超声波设备对模块 DBC 基板与底板之间的焊接质量进行检查,模块扫描后要求芯片、DBC 无损伤,焊接空洞率低于 5%。(10)外壳安装:使用涂胶设备进行模块外壳的涂胶,保证模块安装后的密封性,完成模块外壳的安装和紧固。安装后要求外壳安装方向正确,外壳与底板粘连处在灌封时不会出现硅凝胶渗漏现象。(11)端子键合&端子超声焊接:该工序通过键合铝线工艺,实现子单元与电极端子的电气连接,形成模块整体的电气拓扑结构;可以通过超声波焊接实现子单元与电极端子的连接,超声波焊接是利用高频振动波传递到两个需焊接的物体表面,在加压的情况下,使两个物体表面相互摩擦而形成分子层之间的熔合。超声波焊接具有高机械强度,较低的热应力、焊接质量高等优点,使得焊接具有更好的可靠性,在功率模块产品中应用越来越广泛。(12)硅凝胶灌封&固化:使用自动注胶机进行硅凝胶的灌封,实现模块的绝缘耐压能力。胶体填充到指定位置,完成硅凝胶的固化。要求胶体固化充分,胶体配比准确,胶体内不含气泡、无分层或断裂纹。4. 极端条件下的可靠性测试4.1 单脉冲雪崩能量试验目的:考察的是器件在使用过程中被关断时承受负载电感能量的能力。试验原理:器件在使用时经常连接的负载是感性的,或者电路中不可避免的也会存在寄生电感。当器件关断时,电路中电流会突然下降,变化的电流会在感性负载上产生一个应变电压,这部分电压会叠加电源电压一起加载在器件上,使器件在瞬间承受一个陡增的电压,这个过程伴随着电流的下降。图4.1 a)的雪崩能量测试电路就是测试这种工况的,被测器件上的电流电压变化情况如图4.1 b)。图4.1 a)雪崩能量测试电路图;b)雪崩能量被测器件的电流电压特性示意图这个过程中,电感上储存的能量瞬时全部转移到器件上,可知电流刚开始下降时,电感储存的能量为1/2*ID2*L,所以器件承受的雪崩能量也就是电感包含的所有能量,为1/2*ID2*L。试验目标:在正向电流ID = 20A下,器件单脉冲雪崩能量EAS1J试验步骤:将器件放入测试台,给器件施加导通电流为20A。设置测试台电感参数使其不断增加,直至器件的单脉冲雪崩能量超过1J。通过/失效标准:可靠性试验完成后,按照下表所列的顺序测试(有些测试会对后续测试有影响),符合下表要求的可认为通过。测试项目通过条件IGSS USLIDSS or IDSX USLVGS(off) or VGS(th)LSL USLVDS(on) USLrDS(on) USL (仅针对MOSFET)USL: upper specification limit, 最高上限值LSL: lower specification limit, 最低下限值4.2 抗短路能力试验目的:把样品暴露在空气干燥的恒温环境中,突然使器件通过大电流,观测元器件在大电流大电压下于给定时间长度内承受大电流的能力。试验原理:当器件工作于实际高压电路中时,电路会出现误导通现象,导致在短时间内有高于额定电流数倍的电流通过器件,器件承受这种大电流的能力称为器件的抗短路能力。为了保护整个系统不受误导通情况的损坏,系统中会设置保护电路,在出现短路情况时迅速切断电路。但是保护电路的反应需要一定的时长,需要器件能够在该段时间内不发生损坏,因此器件的抗短路能力对整个系统的可靠性尤为重要。器件的抗短路能力测试有三种方式,分别对应的是器件在不同的初始条件下因为电路突发短路(比如负载失效)而接受大电流大电压时的反应。抗短路测试方式一,也称为“硬短路”,是指IGBT从关断状态(栅压为负)直接开启进入到抗短路测试中;抗短路测试方式二,是指器件在已经导通有正常电流通过的状态下(此时栅压为正,漏源电压为正但较低),进入到抗短路测试中;抗短路测试方式三是指器件处于栅电压已经开启但漏源电压为负(与器件反并联的二极管处于续流状态,所以此时器件的漏源电压由于续流二极管的钳位在-0.7eV左右,,栅压为正),进入到抗短路测试中。可知,器件的抗短路测试都是对应于器件因为电路的突发短路而要承受电路中的大电流和大电压,只是因为器件的初始状态不同而会有不同的反应。抗短路测试方法一电路如图4.2,将器件直接加载在电源两端,器件初始状态为关断,此时器件承受耐压。当给器件栅电极施加一个脉冲,器件开启,从耐压状态直接开始承受一个大电流及大电压,考量器件的“硬”耐短路能力。图4.2 抗短路测试方法一的测试电路图抗短路测试方法二及三的测试电路图如图4.2,图中L_load为实际电路中的负载电感,L_par为电路寄生电感,L_sc为开关S1配套的寄生电感。当进行第二种抗短路方法测试时,将L_load下端连接到上母线(Vdc正极),这样就使L_sc支路与L_load支路并联。初态时,S1断开,DUT开通,电流从L_load和DUT器件上通过,开始测试时,S1闭合,L_load瞬时被短路,电流沿着L_sc和DUT路线中流动,此时电流通路中仅包含L_sc和L_par杂散电感,因此会有大电流会通过DUT,考察DUT在导通状态时承受大电流的能力。当进行第三种抗短路方法测试时,维持图4.2结构不变,先开通IGBT2并保持DUT关断,此时电流从Vdc+沿着IGBT2、L_load、Vdc-回路流通,接着关断IGBT2,那么D1会自动给L_load续流,在此状态下开启DUT栅压,DUT器件处于栅压开启,但漏源电压被截止状态,然后再闭合S1,大电流会通过L_sc支路涌向DUT。在此电路中IGBT2支路的存在主要是给D1提供续流的电流。图4.3 抗短路测试方法二和方法三的测试电路图1) 抗短路测试方法一:图4.2中Vdc及C1大电容提供持续稳定的大电压,给测试器件DUT栅极施加一定时间长度的脉冲,在被试器件被开启的时间内,器件开通期间处于短路状态,且承受了较高的耐压。器件在不损坏的情况下能够承受的最长开启时间定义为器件的短路时长(Tsc),Tsc越大,抗短路能力越强。在整个短路时长器件,器件所承受的能量,为器件的短路能量(Esc)。器件的抗短路测试考察了器件瞬时同时承受高压、高电流的能力,也是一种器件的复合应力测试方式。图4.2测试电路中的Vdc=600V,C1、C2、C3根据器件的抗短路性能能力决定,C1的要求是维持Vdc的稳定,C1的要求是测试过程中释放给被测器件的电能不能使C1两端的电压下降过大(5%之内可接受)。C2,C3主要用于给器件提供高频、中频电流,不要求储存能量过大。对C2、C3的要求是能够降低被测器件开通关断时造成的漏源电压振幅即可。图4.4 抗短路能力测试方法一的测试结果波形图4.4给出了某款SiC平面MOSFET在290K下,逐渐增大栅极脉冲宽度(PW)的抗短路能力测试结果。首先需要注意的是在测试过程中,每测量一个脉冲宽度的短路波形,需要间隔足够长的时间,以消除前一次短路测试带来的器件温度上升对后一次测试的器件初始温度的影响,保证每次测试初始温度的准确。从图中可以看出,Id峰值出现在1 μs和2 μs之间,随着开通时间的增加,Id呈现出先增加后减小的时间变化趋势。Id的上升阶段,是因为器件开启时有大电流经过器件,在高压的共同作用下,器件温度迅速上升,因为此时MOSFET的沟道电阻是一个负温度系数,所以MOSFET沟道电阻减小,Id则上升,在该过程中电流上升的速度由漏极电压、寄生电感以及栅漏电容的充电速度所决定;随着大电流的持续作用,器件整体温度进一步上升,器件此时的导通电阻变成正温度系数,器件的整体电阻将随温度增加逐渐增大,这时器件Id将逐渐减小。所以,整个抗短路能力测试期间,Id先增加后下降。此外,测试发现,当脉冲宽度增加到一定程度,Id在关断下降沿出现拖尾,即器件关断后漏极电流仍需要一定的时间才能恢复到0A。在研究中发现当Id拖尾到达约12A左右之后,进一步增大脉冲宽度,器件将损坏,并伴随器件封装爆裂。所以针对这款器件的抗短路测试,定义Tsc为器件关断时漏极电流下降沿拖尾到达10A时的脉冲时间长度。Tsc越长,代表器件的抗短路能力越强。测试发现,低温有助于器件抗短路能力的提升,原因是因为,低的初始温度意味着需要更多的时间才能使器件达到Id峰值。仿真发现,器件抗短路测试失效模式主要有两种:1、器件承受高压大电流的过程中,局部高温引起漏电流增加,触发了器件内部寄生BJT闩锁效应,栅极失去对沟道电流的控制能力,器件内部电流局部集中发生热失效,此时的表现主要是器件的Id电流突然上升,器件失效;2、器件温度缓慢上升时,导致器件内部材料性能恶化,比如栅极电极或者SiO2/Si界面处性能失效,主要表现为器件测试过程中Vgs陡降,此时,器件的Vds若未发生进一步损坏仍能承受耐压,只是器件Vgs耐压能力丧失。上述两种失效模式都是由于温度上升引起,所以要提升器件的抗短路能力就是要控制器件内部温度上升。仿真发现导通时最高温区域主要集中于高电流密度区域(沟道部分)及高电场区域(栅氧底部漂移区)。因此,要提升器件的抗短路能力,要着重从器件的沟道及栅氧下方漂移区的优化入手,降低电场峰值及电流密度,此外改善栅氧的质量将起到决定性的作用。2) 抗短路测试方法二:图4.5 抗短路能力测试方法二的测试结果波形如图4.5,抗短路测试方法二的测试过程中DUT器件会经历三个阶段:(1)漏源电压Vds低,Id电流上升:当负载被短路时,大电流涌向DUT器件,此时电路中仅包含L_sc和L_par杂散电感,DUT漏源电压较低,Vdc电压主要分布在杂散电感上,所以Id电流以di/dt=Vdc/(L_sc+L_par)的斜率开始上升。随着Id增加,因为DUT器件的漏源之间的寄生电容Cgd,会带动栅压上升,此时更加促进Id电流的增加,形成一个正循环,Id急剧上升。(2)Id上升变缓然后开始降低,漏源电压Vds上升:Id上升过程中,Vds漏源电压开始增加,导致Vdc分压到杂散电感上的电压降低,导致电流上升率di/dt减小,Id上升变缓,当越过Id峰值后,Id开始下降,-di/dt使杂散电感产生一个感应电压叠加在Vds上导致Vds出现一个峰值。Vds峰值在Id峰值之后。(3)Id、Vds下降并恢复:Id,Vds均下降恢复到抗短路测试一的高压高电流应力状态。综上所述,抗短路测试方法一的条件比方法一的更为严厉和苛刻。3) 抗短路测试方法三:图4.6 抗短路能力测试方法二的测试结果波形如图4.6,抗短路测试方法三的波形与方法二的波形几乎一致,仅仅是在Vds电压上升初期有一个小的电压峰(如图4.6中红圈),这是与器件发生抗短路时的初始状态相关的。因为方法三中器件初始状态出于栅压开启,Vds为反偏的状态,所以器件内部载流子是耗尽的。此时若器件Vds转为正向开通则必然发生一个载流子充入的过程,引发一个小小的电压峰,这个电压峰值是远小于后面的短路电压峰值的。除此以外,器件的后续状态与抗短路测试方法二的一致。一般来说,在电机驱动应用中,开关管的占空比一般比续流二极管高,所以是二极管续流结束后才会开启开关管的栅压,这种情况下,只需要考虑仅开关管开通时的抗短路模式,则第二种抗短路模式的可能性更大。然而,当一辆机车从山上开车下来,电动机被用作发电机,能量从车送到电网。续流二极管的占空比比开关管会更高一点,这种操作模式下,如果负载在二极管续流且开关管栅压开启时发生短路,则会进行抗短路测试模式三的情况。改进抗短路失效模式二及三的方法,是通过给开关器件增加一个栅极前钳位电路,在Id上升通过Cgd带动栅极电位上升时,钳位电路钳住栅极电压,就不会使器件的Id上升陷入正反馈而避免电流的进一步上升。试验目标:常温下,令Vdc=600V,通过控制Vgs控制SiC MOSFET的开通时间,从2μs开通时间开始以1μs为间隔不断增加器件的开通时间,直至器件损坏,测试过程中保留测试曲线。需要注意的是,在测试过程中,每测量一个脉冲宽度的短路波形,需要间隔足够长的时间,以消除前一次短路测试带来的器件温度上升对后一次测试的器件初始温度的影响,保证每次测试初始温度的准确。试验步骤:搭建抗短路能力测试电路。将器件安装与测试电路中,保持栅压为0。通过驱动电路设置器件的开通时间,给器件一个t0=2μs时间的栅源脉冲电压,使器件开通t0时间,观察器件上的电流电压曲线,判断器件是否能够承受2μs的短路开通并不损坏;如未损坏,等待足够长时间以确保器件降温至常温状态,设置驱动电路使器件栅源电压单脉冲时间增加1us,再次开通,观察器件是否能够承受3μs的短路开通并不损坏。循环反复直至器件发生损坏。试验标准:器件被打坏前最后一次脉冲时间长度即为器件的短路时长Tsc。整个短路时长期间,器件所承受的能量为器件的短路能量Esc。4.3 浪涌试验目的:把样品暴露在空气干燥的恒温环境中,对器件施加半正弦正向高电流脉冲,使器件在瞬间发生损坏,观测元器件在高电流密度下的耐受能力。试验原理:下面以SiC二极管为例,给出了器件承受浪涌电流测试时的器件内部机理。器件在浪涌应力下的瞬态功率由流过器件的电流和器件两端的电压降的乘积所决定,电流和压降越高,器件功率耗散就越高。已知浪涌应力对器件施加的电流信号是固定的,因此导通压降越小的器件瞬态功率越低,器件承受浪涌的能力越强。当器件处于浪涌电流应力下,电压降主要由器件内部寄生的串联电阻承担,因此我们可以通过降低器件在施加浪涌电流瞬间的导通电阻,减小器件功率、提升抗浪涌能力。a)给出了4H-SiC二极管实际浪涌电流测试的曲线,图4.7 a)曲线中显示器件的导通电压随着浪涌电流的上升和下降呈现出“回滞”的现象。图4.7 a)二极管浪涌电流的实测曲线; b)浪涌时温度仿真曲线浪涌过程中,器件的瞬态 I-V 曲线在回扫过程中出现了电压回滞,且浪涌电流越高,器件在电流下降和上升过程中的压降差越大,该电压回滞越明显。当浪涌电流增加到某一临界值时,I-V 曲线在最高压降处出现了一个尖峰,曲线斜率突变,器件发生了失效和损坏。器件失效后,瞬态 I-V 曲线在最高电流处出现突然增加的毛刺现象,电压回滞也减小。引起SiC JBS二极管瞬态 I-V 曲线回滞的原因是,在施加浪涌电流的过程中,SiC JBS 二极管的瞬态功率增加,但散热能力有限,所以浪涌过程中器件结温增加,SiC JBS 二极管压降也发生了变化,产生了回滞现象。在每次对器件施加浪涌电流过程中,随着电流的增加,器件的肖特基界面的结温会增加,当电流降低接近于0时结温才逐渐回落。在浪涌电流导通的过程中,结温是在积累的。由于电流上升和下降过程中的结温的差异,导致了器件在电流下降过程的导通电阻高于电流在上升过程中导通电阻。这使得电流下降过程 I-V 曲线压降更大,从而产生了在瞬态 I-V 特性曲线电压回滞现象。浪涌电流越高,器件的肖特基界面处的结温越高,因此导通电阻就越大,而回滞现象也就越明显。为了分析器件在 40 A 以上浪涌电流下的瞬态 I-V 特性变化剧烈的原因,使用仿真软件模拟了肖特基界面处温度随电流大小的变化曲线,如图4.7 b)所示,在 40 A 以上浪涌电流下,结温随浪涌电流变化非常剧烈。器件在 40 A 浪涌电流下,最高结温只有 358 K。但是当浪涌电流增加到60 A 时,最高结温已达1119 K,这个温度足以对器件破坏表面的肖特基金属,引起器件失效。图4.7 b)中还可以得出,浪涌电流越高,结温升高的变化程度就越大,56 A 和 60 A 浪涌电流仅相差 4 A,最高结温就相差 543 K,最高结温的升高速度远比浪涌电流的增加速度快。结温的快速升高导致了器件的导通电阻迅速增大,正向压降快速增加。因此,电流上升和下降过程中,器件的导通压降会更快速地升高和下降,使曲线斜率发生了突变。器件结温随着浪涌电流的增大而急剧增大,是因为它们之间围绕着器件导通电阻形成了正反馈。在浪涌过程中,随着浪涌电流的升高,二极管的功率增加,产生的焦耳热增加,导致了结温上升;另一方面,结温上升,导致器件的导通电阻增大,压降进一步升高。导通电压升高,导致功率进一步增加,使得结温进一步升高。因此器件的结温和电压形成了正反馈,致使结温和压降的增加速度远比浪涌电流的增加速度快。当浪涌电流增加到某一临界值时,触发这个正反馈,器件就会发生失效和损坏。长时间的重复浪涌电流会在外延层中引起堆垛层错生长,浪涌电流导致的自热效应会引起顶层金属熔融,使得电极和芯片之间短路,还会导致导通压降退化和峰值电流退化,并破坏器件的反向阻断能力。金属Al失效是大多数情况下浪涌失效的主要原因,应该使用鲁棒性更高的材料替代金属Al,以改善SiC器件的高温特性。目前MOS器件中,都没有给出浪涌电流的指标。而二极管、晶闸管器件中有这项指标。如果需要了解本项目研发的MOSFET器件的浪涌能力,也可以搭建电路实现。但是存在的问题是,MOS器件的导通压降跟它被施加的栅压是相关的,栅压越大,导通电阻越低,耐浪涌能力越强。如何确定浪涌测试时应该给MOSFET施加的栅压,是一个需要仔细探讨的问题。试验目标:我们已知浪涌耐受能力与器件的导通压降有关,但目前无法得到明确的定量关系。考虑到目标器件也没有这类指标的参考,建议测试时,在给定栅压下(必须确保器件能导通),对器件从低到高依次施加脉冲宽度为10ms或8.3ms半正弦电流波,直到器件发生损坏。试验步骤:器件安装在测试台上后,器件栅极在给定栅压下保持开启状态。通过测试台将导通电流设置成10ms或8.3ms半正弦电流波,施加在器件漏源极间。逐次增加正弦波的上限值,直至器件被打坏。试验标准:器件被打坏前的最后一次通过的浪涌值即为本器件在特定栅压下的浪涌指标值。以上内容给出了本项目研发器件在复合应力及极端条件下的可靠性测试方法,通过这些方法都是来自于以往国际工程经验和鉴定意见,可以对被测器件的可靠性有一个恰当的评估。但是,上述方法都是对测试条件和测试原理的阐述,如何通过测试结果来评估器件的使用寿命,并搭建可靠性测试条件与可靠性寿命之间的桥梁,就得通过可靠性寿命评估模型来实现。
  • 贺利氏:半导体封装材料的未来方向
    p 半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。封装测试是半导体产业的重要环节。在摩尔定律发展脚步迟缓的情况下,对芯片制造商而言,光是靠先进制程所带来的效能增进,已不足以满足未来的应用需求,因此先进封装技术显得尤为重要。然而目前的封装技术在封装材料上存在一些问题亟待解决。/pp 在微型化的趋势下,封装尺寸越来越小,这对封装材料的散热、可靠性要求越来越高。但在超细间距应用中,焊接材料面临着工序复杂、空焊、冷接和焊接不良等问题。贺利氏为此推出了Welco AP5112焊锡膏,使用一体化印刷方案简化了封装流程,同时去除了空焊、冷接和焊接不良现象,减少了材料管理成本。/pp 在高功率器件封装中,不同于传统半导体硅功率器件,第三代半导体功率器件工作温度突破了200℃,这对封装材料提出了新的要求。因此,功率器件封装中需要关键焊接材料具有较低的工艺温度、较高的工作温度、很好的导电性和散热能力。针对此,贺利氏推出了通过扩散将芯片背银和框架上的银(铜)连接在一起烧结银材料。/pp 在存储器件封装应用中,引线键合高度依赖金线。随着国产存储芯片开始量产,急需降低引线键合成本。对此,贺利氏在去年发布了全球首款AgCoat Prime镀金银线,显著降低了净成本。/pp 随着半导体制造工艺越来越难以继续缩微,先进封装对继续提升芯片性能的重要性日益凸显,对半导体封装材料也将带来更多要求。/pp原文:/pp style="text-align: center "strong贺利氏:全球化分工不可逆,构建可靠的供应链至关重要/strong/pp 集微网消息,过去50年来,随着半导体工艺节点向7nm及以下节点工艺发展的速度减慢,摩尔定律减速,是否已到达效率极限已经引起全球辩论。尽管如此,5G、物联网和人工智能等新的终端市场应用正在彻底改变半导体行业,这些新兴应用对高效节能芯片的要求越来越强烈,小型化变得越来越重要,半导体业界正在积极探索解决方案,推动了对新的先进封装技术的需求。/pp style="text-align: center "img style="max-width:100% max-height:100% " src="http://s.laoyaoba.com/jwImg/news/2020/07/01/15936066458907.png"//pp 贺利氏电子中国区销售总监王建龙对集微网记者表示,先进封装发展趋势走向了模块化。一方面,在微型化趋势下,系统级封装(SiP)中的元件数量不断增加,但同时封装体尺寸越来越小。受此影响,手机等消费电子产品的先进封装对于连接材料的要求越来越苛刻。在窄间距、高密度的封装要求下,呈现出模块化封装的发展趋势。另一方面,在新能源汽车、轨道交通、智能电网等应用中,呈现数十颗功能芯片集成在一个模块里封装的趋势。而无论是传统的硅功率器件,还是以氮化镓和碳化硅为代表的第三代半导体器件,大量的大功率器件集成在一个模块中,对散热、可靠性的要求越来越高。/pp “随着技术不断进步,对于元器件的要求越来越严苛。面对激烈的竞争,制造商们倍感压力,不得不努力缩短产品上市时间。贺利氏电子了解这些挑战,也知道客户需要什么样的产品和服务来满足这些严苛的要求。”王建龙表示。例如在消费电子的超细间距应用中,对焊接材料的要求越来越严苛,贺利氏为此推出了Welco AP5112焊锡膏,可以用一体化印刷方案解决SiP封装的SMD和Flip Chip两次工序需求,减少加工步骤,简化SiP封装流程。同时去除了空焊和冷接、焊接不良现象,也减少了材料管理成本。最小可以支持钢网开孔尺寸70um,线间距50um的印刷。/pp 在高功率器件封装中,对于传统的硅功率器件,受本身半导体结构的限制工作温度限定在175° C,第三代半导体功率器件则突破了200° C。因此一方面要延长硅基功率器件的使用周期,另一方面要适应碳化硅等第三代半导体小型化高散热的要求,这对作为功率器件封装中关键焊接材料也提出了新的要求,既要有低的工艺温度和高的工作温度,还要有很好的导电性和散热能力。贺利氏的烧结银材料主要用到了熔点961° C的银,保证了焊接材料可以工作在 200° C 以上,具有高导电性、高散热能力和热机械稳定性。从焊接工艺来说,这种烧结材料不同于锡膏,在整个焊接过程中,银始终作为固态形式存在,通过扩散将芯片背银和框架上的银(铜)连接在一起,烧结后具备很好的剪切强度、高的导电性和散热性,提高了功率器件的工作温度和可靠性。/pp 在半导体市场中,存储器件占据非常大的比例。在许多半导体应用中,封装中使用的金线已被银线、裸铜线和镀钯铜线所取代。然而在存储器件封装应用中,引线键合仍然高度依赖金线。随着中国国产存储芯片开始量产,降低生产成本的需求十分强烈。针对此贺利氏在去年发布了全球首款AgCoat Prime镀金银线,性能和可靠性堪比金线,可显著降低净成本。王建龙表示,AgCoat Prime产品前期在国内一些客户中进行验证,可能个别客户会有一些工艺参数的微调,也可能需要他们跟客户再进行一定的重复验证。“可以肯定的是这款产品可以大幅降低存储器件的成本,也不排除将来成为一种行业标准解决方案。”他指出,“AgCoat Prime起初是针对半导体存储器设计的,但是也可以用到RFID、LED等应用中。”/ph4疫情、国际局势加速半导体产业升级/h4p 今年爆发的疫情,先后在中国和全球半导体产业中掀起不小的震荡。因为终端需求下滑,许多市场研究机构预测今年半导体的增速也会大幅下滑乃至继续为负,但是中国市场呈现出了不一样的活力。/pp 根据近日上海市委常委、副市长吴清公布的数据,在1-5月份各个领域受到挑战的情况下,上海集成电路逆势增长,销售收入实现38.7%的增长。对此王建龙表示,中国半导体市场在未来五年里预计都将处于明显的上升周期中。疫情虽然短时间内对产业造成了一定冲击,但长期来看,疫情催生线上经济、加速“远程办公”,以及生活方式变革,对5G、存储、新能源技术等领域都是很大的推动力,中国半导体产业也在加紧技术研发和产业升级。“在这些因素作用下,贺利氏今年1~5月份市场表现甚至优于去年同期。除了汽车电子业务受市场需求影响略有下滑,在先进封装和功率电子业务上都呈现上升态势。”他补充说,“但是随着汽车互连化以及新能源车的加快推进,以及碳化硅功率器件的普及,贺利氏也将迎来巨大的增长机会。”/pp 另一方面,疫情和中美贸易冲突加剧,全球半导体产业链受到不同程度的停工、断供危机。王建龙认为,因为某一个工厂出了问题就断供,这是非常不可靠的公司行为。/pp “贺利氏2016年建立的‘备份工厂’机制很好的避免了这些问题。我们的每个产品线都有备份工厂,某个工厂出现问题,其他的工厂可以马上替补生产。很多客户的产品都认证过,他们的产品可以在两个工厂之间随时切换。当然正常时期会优先选择供应周期更短、效率更高的工厂。在疫情期间我们的客户已经体会到‘备份工厂’带来的便利。”他表示,“另一方面,美国制裁华为,华为想要在国内建立更多供应链,以及多个国家想要将产业链迁出中国。从这方面看,短期内中国在全球制造业的地位是不会改变的。全球化不会因为政治影响而改变,最终还是需要用户受益,因此产业链也不可能逆市场而行。显然,市场、人才、效率、产业链,都在中国这里。全球分工、全球合作,不是某个人、某个国家可以改变的。”/pp style="text-align: center "img style="max-width:100% max-height:100% " src="http://s.laoyaoba.com/jwImg/news/2020/07/01/15936066061463.png"//pp 作为贺利氏全球最重要的市场之一,为了贴近客户需求,贺利氏在上海先后成立了上海产品创新中心和技术应用中心,分别从事与客户及合作伙伴共同进行电子材料系统的研发测试和应用认证。王建龙透露,上海创新应用中心成立近两年来,多个重要客户在这里与贺利氏一起完成了他们关键产品的封装挑战。“例如某个新能源车企在这里,通过贺利氏的材料解决方案解决了在新能源车核心的电控部分的技术难题,使电控模块性能得到了显著升级。”他解释, “这是一个创新中心与客户共同研发、投入量产,以此推动产业发展的一个成功案例。相信在未来两年,国内主要的新能源车电控部分都会直接或间接与贺利氏合作。贺利氏也将继续以完善的材料产品与服务组合,来满足中国市场对于高性能电力电子产品日益增长的需求。”/pp 最后,王建龙强调,半导体制造工艺越来越难以继续缩微,而先进封装对继续提升芯片性能的重要性日益凸显,进而对半导体封装材料带来了更多要求。“芯片的集成度可能会受到摩尔定律逼近极限的影响,但是人们追求先进电子设备的脚步不会因此停下。封装技术无疑是一个重要途径,这也是为什么贺利氏将先进封装业务提升到更高的战略层面的原因。”王建龙强调。/p
  • 增长强劲 前景看好——2021年仪器厂商破局半导体材料市场的入门指南
    2020年是人类历史上标志性的一年,也是半导体工业史上特殊的一年。在这一年里,COVID-19引发了商业和公众的混乱、供应链的中断,而各行业迅速应对新冠传播并产生了新的市场行情。尽管如此,这一年中,包括半导体制造材料行业在内的整个半导体行业,仍取得了非凡的增长。对于仪器厂商而言,在市场行情和国家政策红利的双重支持下,2021年该关注哪些增速较快、规模较大的半导体材料市场板块,因地制宜地制定和完善市场拓展策略,成为一大值得思考的问题。全球半导体材料市场近年来持续增长,自2018年以来,每年的市场规模都超过500亿美元。2020年,全球半导体材料市场规模扩大5%,达到553亿美元,创造了新的行业纪录,芯片出货量的增长以及晶圆制造和封装企业对于先进工艺的要求推动了半导体材料市场的扩张。晶圆制造材料2020年,晶圆制造材料收入增长6.5%,达到349亿美元。在主要的晶圆制造材料中,光刻胶和光刻胶辅助材料、湿化学品和化学机械抛光(CMP,抛光液和抛光垫)增长最快。在光刻胶类别中,市场份额最高的是先进光刻胶,其在2020年增长了22%。对制程的持续追求推动了对先进光刻技术的需求,同时扩大EUV和关键层的多图形的使用,刺激了193nm和13.5nm光刻胶更多的消耗。特别是,到2020年底,EUV正迅速应用到含超85个半导体的高端逻辑器件的大批量生产中。CMP和湿化学品在2020年分别增长了15%和17%。加工步骤的增加以及先进CMP配方的成本提升,是CMP和湿化学品市场增长的主要原因。总而言之,2020年,除了溅射靶和硅,2020年在所有晶圆制造材料均呈现不同程度的增长。硅片市场过去是周期性的,通常对供需动态十分敏感。2020年,硅片出货量较2019年增长5%,但由于2020年上半年定价疲软,硅片市场收入与2019年持平。随着300mm外延片需求强劲,以及200mm和300mm抛光片增长有所改善,硅片市场将在2021年强劲反弹。包装材料包装材料市场在2020年增长了2.3%,达到204亿美元。至于特定材料,有机基质仍然是最大的包装材料细分市场。高性能计算和5G技术推动了基层市场的发展。然而,目前的供应紧张的状况可能会对各种应用的增长潜力产生不利影响。在消费类电子产品、笔记本电脑、在线办公与学习等相关产品的推动下,引线键合材料的需求在2020年出现了反弹。2020年的引线框架市场保持在2019年的水平,这是因为2020年上半年由于新冠(尤其是汽车行业)造成的中断而疲软。在2020年底开始强劲反弹的推动下,汽车行业将有助于2021年引线框架市场的扩张。包装领域的其他材料,如陶瓷封装和封装树脂,也在2020年出现疲软,但应在2021年有所上升。从地区角度看,中国在积极的提升产能,在2020年成为第二大原材料市场。虽然最近的贸易和地缘政治紧张局势以及出口限制可能会造成供应链和监管方面的不确定性,但大量对半导体材料的投资说明该领域仍将长期增长。在考虑到地缘政治贸易紧张局势和整体宏观经济因素的不确定性并存的同时,半导体材料市场在2020年表现强劲。尽管新冠全球大流行持续不断,但预计2021年全年的增长势头仍将持续。持续上升趋势的主要驱动力是全球经济数字化程度的提高、5G技术的部署以及对数据中心和云服务的强劲投资;同时先进集成电路、3D存储结构和异构集成的制造需要更多的加工步骤,也带动了更多的晶圆制造材料和封装材料的消费。无论如何,新冠病毒的流行加速了许多企业的数字化转型,进而大大增加了半导体类别的消费,使整个半导体制造生态系统受益,半导体检测仪器市场也将在2021年迎来新一轮的增长。
  • 第三届“半导体工艺及封装检测新技术”网络会议回放视频上线!
    2024年5月9-10日,仪器信息网联合电子工业出版社共同主办了第三届“半导体工艺及封装检测新技术”网络会议,并得到了日本电子、日立科学仪器、徕卡、SCIEX中国、青岛众瑞等多家仪器企业的大力支持。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告。会议共历时2天,20余名专家和近千名观众围绕薄膜沉积与外延及其检测技术、光刻与刻蚀及其检测技术、半导体封装及其检测技术、半导体失效分析及沾污检测四个专题展开线上讨论。会议过程中,听众积极参与,直播间氛围热烈。会议的21个报告,经征求报告嘉宾意见,部分报告将设置视频回放,便于广大网友温故知新,详情见下表:第三届半导体工艺及封装检测新技术网络会议05月09日薄膜沉积与外延及其检测技术报告题目报告嘉宾回放链接原子层沉积技术发展及应用屈芙蓉中国科学院微电子研究所 高级工程师回放第十族贵金属硫化物少层材料研究进展杨鹏云南大学 研究员不回放Si衬底上GaN基材料外延生长研究进展陈正昊北京大学 博士回放05月09日光刻与刻蚀及其检测技术报告题目报告嘉宾回放链接面向广义芯片的特种曝光装备及关键技术研究刘俊伯中国科学院光电技术研究所 副研究员回放SCIEX质谱在光刻胶成分分析与表征的应用及解决方案陈慧敏SCIEX 应用支持专家不回放如何通过3讲堂实现会议营销事半功倍刘亚伟北京信立方科技发展股份有限公司 会议运营部平台运营经理回放爱发科在化合物半导体刻蚀(GaN, InP, LN)的解决方案吴必昇爱发科(苏州)技术研究开发有限公司 研究员不回放硅干法刻蚀技术介绍王晓东中国科学院半导体研究所 研究员回放05月10日半导体封装及其检测技术报告题目报告嘉宾回放链接元器件国产化验证工艺整体解决方案周舟工业和信息化部电子第五研究所 工程师不回放碳化硅功率器件封装与可靠性测试田鸿昌中国电气装备集团科学技术研究院有限公司 电力电子器件专项负责人回放新能源汽车用功率器件可靠性测试标准AQG324解读(下)邓二平合肥工业大学 教授回放先进封装集成电路机械性能评价邓传锦工业和信息化部电子第五研究所 高级工程师不回放众瑞0.1μm尘埃粒子计数器样机开放试用!青岛众瑞智能仪器股份有限公司/05月10日半导体失效分析及沾污检测报告题目报告嘉宾回放链接化合物半导体材料检测与应用李春华上海市计量测试技术研究院 集成电路产业中心主任/高工回放使用截面抛光仪制备电子元件截面样品——截面制备原理与封装半导体元件内部截面制备庞铮捷欧路(北京)科贸有限公司 应用工程师回放日立半导体FA解决方案--制样、观察、量测、分析周鸥日立科学仪器(北京)有限公司 专门部长不回放徕卡光学显微镜在电子半导体的应用王海银徕卡显微系统(上海)贸易有限公司 工业显微镜应用工程师回放徕卡先进制样技术在电子半导体行业应用介绍王露露徕卡显微系统(上海)贸易有限公司 电镜制样产品应用工程师回放先进表征技术驱动新材料研发:从基础研究到产品“微”创新刘小春长沙理工大学金属研究所 所长/教授回放集成电路静电放电失效分析与评价何胜宗工业和信息化部电子第五研究所 高级工程师回放芯片的可靠性应用设计与测试评估黄伟冠工业和信息化部电子第五研究所 项目工程师不回放引线键合工艺及监控手段介绍张乐银华东光电集成器件研究所 所级关键技能带头人 中国兵器集团公司关键技能带头人回放
  • 涉及1556台仪器,年产100亿只芯片项目工艺流程曝光
    半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。所谓封装测试其实就是封装后测试,把已制造完成的半导体元件进行结构及电气功能的确认,以保证半导体元件符合系统的需求的过程称为封装后测试。对此,仪器信息网特通过公开文件了解到池州华宇电子科技有限公司年产 100 亿只高可靠性集成电路芯片先进封装测试产业化项目情况。据了解,池州华宇电子科技股份有限公司投资 15800 万元在池州市经济技术开发区凤凰大道与前程大道交叉口新建“年产 100 亿只高可靠性集成电路芯片先进封装测试产业化项目”,项目占地面积 65 亩,中心坐标为东经 117.543982°,北纬 30.705040°。建设主体工程1#厂房,配套建设办公楼、科研楼、宿舍楼等辅助工程以及储运工程、公用工程和环保工程等,购置切割机、研磨机、键合机、焊线机、编带机、成型机、镀锡设备、双轨机、塑封压机等半导体自动化设备,建设高性能高可靠性集成电路芯片封装测试生产线,形成年产 100 亿只集成电路线宽小于等于 0.8微米集成电路芯片封测能力。项目分两期建设,一期建设3条镀锡(自动)生产线,形成年产 50 亿只集成电路线宽小于等于 0.8 微米集成电路芯片封测能力;二期建设 3条镀锡(1 条挂镀)生产线,形成年产 50 亿只集成电路线宽小于等于 0.8 微米集成电路芯片封测能力。该项目配置清单和工艺流程详情如下,主要配套设备一览表主要工艺流程及产污环节:本项目主要是将待封装的芯片进行封装、镀锡、测试。本项目一期工程主体工艺流程如下。①主体工艺:项目主体生产工艺流程及产污环节图工艺流程说明:磨划片:通过研磨机将芯片磨至需要的厚度,磨片过程中用纯水冲洗,磨片完成后进行切割,切割完成后用纯水冲洗,磨划过程会产生少量废水 W1 与固废 S4; 粘片:目的是将单个的芯片固定在基材(引线框架/基板)上。该过程采用导电胶进行粘片,导电胶的成分为树脂和银粉。粘片过程会产生少量废引线基材 S1;键合:接线温度 T=120-200℃,接线时间 t=0.5-1 秒。在压力和超声波键合的共同作用下,利用高纯度的金丝或铜丝把芯片上电路的外接点和引线(框架管脚)通过引线键合的方法连接起来。该过程主要产生少量废金属 S2(废铜线等)。塑封:采用环氧树脂塑封材料将部分框架和焊线后的芯片封装,对组装件进行保护,该过程在自动塑封机内完成,主要产生少量废胶渣 S3。塑封过程中树脂熔融状态会产生有机废气 G1。激光打标:采用激光机,在相应部位打上标记。激光机在打标过程会产生有机废气 G2 和粉尘 G1。表面处理:采用电镀流水线进行无铅镀锡处理。切筋:镀锡后的元件通过引线连在一起,因此需要将引线切断,以将整条元件分割成单片。切筋后形成的单片,即为封装完成的集成电路。该过程主要产生边角料 S6。测试、检验:对封装完成的单片进行测试以及抽检。该过程产生的不合格品将返工。包装:对测试、检验合格品进行包装入库。②镀锡工艺:项目镀锡工艺流程及产污环节图工艺流程明:高温软胶(高温蒸煮槽):电子元器件在塑封时会溢出多余的环氧树脂毛刺、飞边,故需要使用化学去毛刺溶液,在 60-100℃温度下浸泡,使毛刺或飞边溶胀、溶解、软化,以便接下来使用高压水喷射彻底去除。化学去毛刺溶液的主要成分是氢氧化钾、杂环酮类衍生物、聚乙二醇、醚类衍生物,产品浸泡后需要用水清洗,清洗时会有废水 W2-1 产生(碱性废水)。高压水去胶:通过增压系统加压自来水,使自来水压力达到 200-500kgf/cm2,用来去除已软化或松动的毛刺或飞边,产生废水 W2-2 定期处理循环利用。去氧化:去除产品表面的氧化物,使镀层与基材有良好的结合力。使用的化学品是过硫酸钠,浓度 50g/L 左右,常温使用,去氧化后需要用水清洗,清洗时会有废水W2-3 产生(酸性废水)。预浸:主要作用是镀锡前对产品进行活化,并防止污染镀锡液,使用浓度 10%的甲基磺酸,预浸后不需要清洗,没有废水产生。镀锡:通过电化学沉积的方法,在基材上覆盖一层功能性纯锡镀层,使产品具有良好的可焊性。镀锡液主要由 150g/l 的甲基磺酸、60g/L 二价锡和 50mol/L 的表面活性剂组成,温度 30-50℃,电流密度 10-30ASD。镀锡后需要用水清洗,清洗会产生废水 W2-3(酸性废水)。中和:中和镀锡残留的酸性物质,防止镀层变色、腐蚀。中和液使用碳酸钠配置,操作温度常温,中和后需要清洗,清洗会有废水 W2-1 产生(碱性废水)。超声波清洗:采用纯水机制备的纯水,进行最后的超声波清洗,清洗温度为50-70℃。干燥:工序最后对芯片进行干燥处理,干燥主要分为风干和烘干。退镀:镀锡线采用不锈钢钢带和夹子来夹持和传送产品进行镀锡,钢带和夹子上也会镀上一小部分的锡,需要对这部分锡进行剥除和回收。退镀液的主要成分为甲基磺酸(55g/L),使用小于 1.5V 的电压进行电解,使钢带和夹子上的锡剥除并重新沉积在回收钢板上。退镀后用超声波溢流水清洗,不新增清洗废水。项目退镀工艺流程项目需定期对沉锡工序使用的钢带和假片进行退锡。退锡周期约 1 次/月。 ①钢带退锡:采用电化学方法(利用甲基磺酸)在高速退锡线中使钢带上的锡转移到钢板上,与锡化生产线同步进行:钢板退锡是利用电解方法将钢板上的锡电解形成锡渣 S,退锡后利用纯水清洗:此过程将产生一定的酸性气体 G3-2 酸性气体,退锡清洗废水 W2。②夹片退锡:使利用化学方法使用电解液将夹片上的锡溶解到退锡液中,夹片退锡后利用纯水清洗。此过程将产生一定的酸性气体 G3-2 酸性气体,退锡清洗废水 W2。退锡工序产生的锡渣回用于镀锡工序。③其他产污环节本项目其他产污环节主要包括:反渗透法制纯水产生的浓水 W3,废气喷淋塔产生的废水 W4,一般性固态原辅料拆包装过程产生的废包装材料 S11,化学品使用过程产生的沾有化学品的容器 S7,污水处理站产生的污泥 S8,设备及地面定期清洗废水 W5,以及员工日常生活产生的生活污水 W6 和生活垃圾 S9,纯水制备过程会产生废反渗透膜 S10,生产过程中产生的不合格产品 S11。
  • 钯价大涨!专家预计2022年均价2763美元
    近日,金价持续走高,价格比黄金更贵的钯金价格更是“一飞冲天”。据最新数据,现货钯金的价格一度上涨超过5%,最高至3173美元/盎司,年内涨幅超过65%。半导体生产对钯金有一定需求,钯金可用于传感器等半导体元器件中,也是半导体封装环节的重要原料之一。但记者在采访中了解到,俄罗斯的钯金产量约占全球总量的40%,钯金出口量占比达到35%。由于半导体产品中使用的钯金相对较少,钯金价格上涨对全球半导体供应链扰动有限。但半导体厂商还是应该寻求多元化的原材料供应体系。3月29日金投网最新钯金价格走势钯在半导体里有少量使用钯金是世界上最稀有的贵金属之一,具有不褪色、耐高温、耐腐蚀、延展性好等优良品质。但是,钯金很稀有,它的储量只有铂金的1/6,年总产量还不到黄金的1/8。有人戏称,钯金是比黄金还值钱的“贵”金属。更重要的是,世界上只有俄罗斯和南非等少数国家出产钯金,其中俄罗斯是全球最大的钯金生产国。据了解,俄罗斯的钯金产量约占全球总量的40%,钯金出口量占比达到35%。钯金在半导体行业有一定的“存在感”,在电子元器件和集成电路等领域均有所应用。赛迪顾问集成电路中心负责人滕冉向记者表示,钯可用于生产多层陶瓷电容器(MLCC)。MLCC可应用于移动电话、笔记本电脑、传真机,以及汽车和家用电子产品的电气元件。在其他微电子领域,钯主要应用于电子电路、混合集成电路的连接器和引线框架的电镀。再将目光聚焦到半导体行业的元器件和电子封装领域。有研亿金新材料有限公司副总经理何金江对记者表示,钯及银钯合金等是制备MLCC电容器、谐振器的重要材料;在半导体后道的封装环节,钯合金及镀钯丝主要用作电子封装的引线键合,用来替代金丝;此外,钯可以用于元器件精密连接的钯合金焊料,基于钯的特性,新的材料和应用也在开发中。“钯在半导体领域有所应用,但实际需求较少。”何金江对记者表示,钯在汽车尾气催化中的应用超过80%,占据其市场应用最大份额,特别是国六排放标准推行之后,钯的需求量正在增长。钯价格上涨对半导体影响不大受当前市场供需关系影响,近日钯金价格持续走高,上涨幅度十分明显。近期数据显示,现货钯金的价格一度上涨超过5%,最高至3173美元/盎司,年内涨幅超过65%。钯金涨价会给半导体行业带来什么传导效应?半导体行业专家莫大康在接受记者采访时表示,俄罗斯不是半导体材料大国,此次涨价事件对半导体行业整体影响不大。钯金在半导体产品中的应用比重较低,目前不会对半导体生产造成大规模影响,特别是对于那些原材料库存水位较高的企业。滕冉对记者表示,现阶段,钯金价格的上涨会导致半导体行业的成本有所增加。但是,考虑到单个半导体产品对钯金的需求量比较少,从中短期角度来看,钯金涨价对原材料库存水位较高的企业影响较小。据记者了解,由于钯金的价格很高,所以在半导体领域,国内部分公司对钯金的需求量并不大。也正是因为这个原因,这些公司目前还没有感受到钯金涨价对下游半导体产品的传导效应。而在钯金的主要应用场景——汽车尾气催化领域,汽车制造商极有可能会加速转向更便宜的铂金来寻求替代,这也为钯金明年价格的下降埋下伏笔。渣打银行分析师Suki Cooper预测,2022年钯金的均价为2763美元,明年将降至2275美元。多样化的供应体系愈显重要尽管就眼下情况而言,钯金的供应问题对半导体行业的影响有限,但确实为半导体供应链上的不少企业敲响了警钟,也为各个厂商带来了启示。半导体产业的供应链条很长。创道投资咨询总经理步日欣对记者表示,电子特气、靶材和特种材料等半导体上游原材料供应领域,往往具备种类多、品质要求高、市场规模却又相对较小的特性,所以会呈现出供应商集中、区域集中的特点。在这样的市场状态下,产业的抗风险性能较差,很容易受到外围因素的干扰。因此,从半导体产业长期健康发展的角度,业界需要建立响应的备份机制,完善产业链条,提高抗波动和抗风险能力。针对钯金这种全球储量分布非常不均衡、产量又集中在少数几个国家的特种材料,步日欣认为,相关厂商有必要提前储备原材料,以应对产业发展的不稳定因素。半导体企业有必要在全球范围内寻找原材料的替代供应商。滕冉对记者表示,基于材料的不可替代性和对原材料价格波动的非敏感性,部分关键核心工艺还将继续使用金属钯。在非核心关键制程方面,工程师们将会寻找替代金属,以降低对钯金的依赖度。以下几个例子或许能够证明,打造多样化供应链体系,并寻找核心材料的替代供应商,是企业保障稳定供应的重要任务。英特尔拥有多元化的全球供应链,可最大限度地降低潜在的供应中断风险;受益于多元化材料来源,三星的生产正在照常进行;SK海力士目前已经获得了大量半导体原材料;晶圆代工厂商格芯可以灵活地寻找资源。未来,各大企业将更加重视半导体产业链供应链的完整性与稳定性。滕冉表示,缺乏稳定的供应链体系,将导致半导体企业的技术研发与规模量产处于不可控状态。此外,半导体行业素有“一代材料、一代技术、一代产业”之说。未来半导体技术的发展,需要工艺制造和材料企业联合攻关。材料技术突破对国内半导体厂商的发展至关重要,有望给国内半导体制造工艺的发展带来新的可能性。滕冉表示,国内半导体材料技术水平和供应能力的提升,不仅是材料企业自身的问题,还需要产业链上下游进行联动。半导体制造企业需要给国内配套企业更多应用、试错、提升的机会,这样国内半导体材料企业才有快速成长的可能。
  • 封装工艺和设备简述
    晶圆大多是非常脆的硅基材料,直接拿取是非常容易脆断的,所以必须封装起来,并且把线路与外部设备连接,才能出厂。本文详述芯片的封装工艺和相关的设备。封装听起来似乎就是包装,好像比较简单。封装与蚀刻和沉积相比,在一定程度上是要简单一点,但封装同样是一个高科技的行业。封装技术的发展芯片封装被分传统封装和先进封装。传统封装的目的是将切割好的芯片进行固定、引线和封闭保护。但随着半导体技术的快速发展,芯片厚度减小、尺寸增大,及其对封装集成敏感度的提高,基板线宽距和厚度的减小,互联高度和中心距的减小,引脚中心距的减小,封装体结构的复杂度和集成度提高,以及最终封装体的小型化发展、功能的提升和系统化程度的提高。越来越多超越传统封装理念的先进封装技术被提出。先进封装(Advanced Packaging)是本文讨论的重点。我们先了解一下传统封装,这有利于更好地理解先进封装。传统封装技术发展又可细分为三阶段。阶段一(1980 以前):通孔插装(Through Hole,TH)时代其特点是插孔安装到 PCB 上,引脚数小于 64,节距固定,最大安装密度 10 引脚/cm2,以金属圆形封装(TO)和双列直插封装(DIP)为代表;阶段二(1980-1990):表面贴装(Surface Mount,SMT)时代其特点是引线代替针脚,引线为翼形或丁形,两边或四边引出,节距 1.27-0.44mm,适合 3-300 条引线,安装密度 10-50 引脚/cm2,以小外形封装(SOP)和四边引脚扁平封装(QFP)为代表;阶段三(1990-2000):面积阵列封装时代在单一芯片工艺上,以焊球阵列封装(BGA)和芯片尺寸封装(CSP)为代表,采用“焊球”代替“引脚”,且芯片与系统之间连接距离大大缩短。在模式演变上,以多芯片组件(MCM)为代表,实现将多芯片在高密度多层互联基板上,用表面贴装技术组装成多样电子组件、子系统。自20世纪90年代中期开始,基于系统产品不断多功能化的需求,同时也由于芯片尺寸封装(CSP)封装、积层式多层基板技术的引进,集成电路封测产业迈入三维叠层封装(3D)时代。这个发展阶段,先进封装应运而生。先进封装具体特征表现为:(1)封装元件概念演变为封装系统;(2)单芯片向多芯片发展;(3)平面封装(MCM)向立体封装(3D)发展;(4)倒装连接、TSV硅通孔连接成为主要键合方式。先进封装优势先进封装提高加工效率,提高设计效率,减少设计成本。先进封装工艺技术主要包括倒装类(FlipChip,Bumping),晶圆级封装(WLCSP,FOWLP,PLP),2.5D封装(Interposer)和3D封装(TSV)等。以晶圆级封装为例,产品生产以圆片形式批量生产,可以利用现有的晶圆制备设备,封装设计可以与芯片设计一次进行。这将缩短设计和生产周期,降低成本。先进封装以更高效率、更低成本、更好性能为驱动。先进封装技术上通过以点带线的方式实现电气互联,实现更高密度的集成,大大减小了对面积的浪费。SiP技术及PoP技术奠定了先进封装时代的开局,如Flip-Chip(倒装芯片), WaferLevelPackaging(WLP,晶圆级封装),2.5D封装以及3D封装技术,ThroughSiliconVia(硅通孔,TSV)等技术的出现进一步缩小芯片间的连接距离,提高元器件的反应速度,未来将继续推进着先进封装的进步。所有这些先进封装技术,被集中起来发展成为了3D封装。3D封装会综合使用倒装、晶圆级封装以及 POP/Sip/TSV 等立体式封装技术,其发展共划分为三个阶段:第一阶段:采用引线和倒装芯片键合技术堆叠芯片;第二阶段:采用封装体堆叠(POP);第三阶段:采用硅通孔技术实现芯片堆叠。3D封装可以通过两种方式实现:封装内的裸片堆叠和封装堆叠。封装堆叠又可分为封装内的封装堆叠和封装间的封装堆叠。最后,我们列举一下这些主要的先进封装技术:★ 倒装(FC-FlipChip)★ 晶圆级封装(WLP-Wafer level package)★ 2.5D封装★ (POP/Sip/TSV)等3D立体式封装技术★ 3D封装技术封装的级别电子封装的工程被分成六个级别:层次1(裸芯片)它是特指半导体集成电路元件(IC芯片)的封装,芯片由半导体厂商生产,分为两类,一类是系列标准芯片,另一类是针对系统用户特殊要求的专用芯片,即未加封装的裸芯片(电极的制作、引线的连接等均在硅片之上完成)。层次2(封装后的芯片即集成块)分为单芯片封装和多芯片封装两大类。前者是对单个裸芯片进行封装,后者是将多个裸芯片装载在多层基板(陶瓷或有机材料)上进行气密闭封装构成MCM。层次3(板或卡)它是指构成板或卡的装配工序。将多个完成层次2的单芯片封装在PCB板等多层基板上,基板周边设有插接端子,用于与母板及其它板或卡的电气连接。层次4(单元组件)将多个完成层次3的板或卡,通过其上的插接端子搭载在称为母板的大型PCB板上,构成单元组件。层次5(框架件)它是将多个单元构成(框)架,单元与单元之间用布线或电缆相连接。层次6(总装、整机或系统)它是将多个架并排,架与架之间由布线或电缆相连接,由此构成大型电子设备或电子系统。先进封装的主要设备了解了封装的工艺,再来看看有哪些实际的操作要做,所需的设备就明确了。这里按工艺步骤列举一些:1、裸片堆叠。需要晶圆级叠片机。这是一个对可靠性要求极高的设备,因为线路完成后的晶圆很昂贵,而且非常易碎,更重要的对叠片的精度要求更高。目前还没有孤傲产量产的设备。2、晶圆切割,将Wafer切割成单个芯片。常见有切割机(Saw锯切)、划片机、激光切割机等。3、芯片堆叠。这个设备的难度在于精度和速度。目前国内有很多家厂商在研发这类设备,主要还是速度(产能)方面的差距。4、、封装级光刻和刻蚀。这是光刻技术练兵的场所,这里的光刻精度是微米级的,精度高一点的也达到了0.1微米。5、贴片(把芯片放在基板上)。这一过程需要用到点胶机,贴片机/固晶机/键合机等主要设备,还要用到印刷机,植球机,回熔焊,固化设备,压力设备,清洗设备等。6、引线键合。主要有Wire Bound和Die Bound两类设备。7、置散热片、散热胶、外壳。这一过程也要用到点胶,灌胶,植片机/固晶机/贴片机,压合设备,清洗设备等主要设备。8、检验。包括检验、测试和分选。下面我们针对其中部分常见设备,介绍其原理和结构。1、清洗机这些设备中,清洗机听起来相对简单,但清洗机也绝对不是那么的简单。清洗的优劣,决定着产品的良率,性能及可靠性。有时更决定着工艺过程的成败。接触芯片的零件的清洗,对尘埃、油污的要求,都是绝对严苛的,有的还要对零件表面的挥发气体进行测量,对表面对不同物质的亲合性进行测量。而要达到这些要求,对清洗工艺的要求也往往非常复杂。一条清洗线也动辄十几道 ,几十道工艺过程,对零件进行物理的、化学的、生物级别的清洗与干燥。2、涂胶设备封装阶段的胶水,作用一是把IC的不同部分粘结起来,作用二是把IC各个部分之间的间隙填充起来,作用三是把IC包裹保护起来。这也就基本形成了三个类别,一是点胶,二是填充,三是塑封(Moding)。这些工艺过程,听起来比较简单,很容易理解。事实也确实如此。只是对胶量的控制,均匀性有很高的要求。胶水的压力,出胶口的形状,温度,运动的平稳性,设备的振动,空气流动等,每一个环节都要精确控制。涂胶的工艺的特性主要的还是决定于胶水的特性。在这里我们只谈设备,不谈耗材。芯片点胶芯片底填芯片塑封3、刻蚀\光刻机我们常听说的那些高大上的光刻机,是指晶圆级别上用来刻蚀芯片电路的。封装过程也要用到光刻机,需要制作用于定位和精确定位芯片的封装模板。光刻机可以用于制作这些封装模板的微米级图案。光刻机通过曝光光刻胶和进行显影的过程,将图案精确地转移到封装模板上。封装过程所用光刻机线宽要求比较低,一般500nm的都能用了。封装用光刻机封装用刻蚀机4、芯片键合机芯片键合机,是把芯片与基板连接在一起的设备,有两种主要的方式,Wire Bond和Die Bond。Wire Bond设备通常被称作绑线机,绑线机是用金属引线把IC上的引脚与基板(Substrate)的引脚进行连接的设备。这个工艺中使用的金属细线通常只有几十微米,一根一根把金属丝熔融在引脚上。这个过程在引脚多的芯片上就很耗时。Die Bond设备有时被称作贴片机或固晶机机。Die Bond是近些年才发展起来的技术,是通过金属球阵列来进行连接,就是常说的BGA技术(Ball Grid Array)。Die Bond的连接方式效率更高,一次性可以连接所有引脚,所以生产数百数千引脚的芯片也很方便。还有就是Die Bond封装更加紧凑,所以Die Bond是未来芯片键合的主要方式。Wire Bond设备5、贴片机贴片机是一种高度复杂且精密的机器,其工作原理可以追溯到微电子组件制造的核心。这些机器使用先进的视觉系统,如光学传感器和高分辨率摄像头,以检测和定位微小的电子元件。这种视觉系统能够在纳米级别准确度下进行操作,确保元件的精确定位。贴片通常是指表面贴装技术,是一种将无引脚或短引线表面组装元器件(简称SMC/SMD,中文称片状元器件)安装在印制电路板(Printed Circuit Board,PCB)的表面或其它基板的表面上,通过再流焊或浸焊等方法加以焊接组装的电路装连技术。除此之外,贴片还指应用于裸芯片(Die)的贴装技术,是指将晶圆片上没有封装或保护层的晶片(裸芯片)贴装到基板上的过程。这些芯片通常由硅等材料制成,并通过刻蚀、沉积、光刻等工艺加工而成。裸芯片贴装是一种高精度、高技术含量的制造过程,在贴片过程中,由于裸芯片缺乏封装保护,对裸芯片的测试和组装要求更高,需要专门的贴片机设备和技术来确保其可靠性和稳定性。裸芯片贴装技术常用于高性能计算、光通信、存储和其他应用领域,其中需要更高的处理能力和集成度。
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad –Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plate COA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH)将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 前沿科技 | 半导体先进封装,其实离我们不遥远
    如果列举一下当代智能手机的几大前沿技术,那么屏幕下指纹识别一定在列。之所以这样笃定,是因为它不仅带来了全新的交互解锁方式,更是手机迈向「全面屏」时代的一次重大技术飞跃。或许你会说,苹果的Face ID人脸识别解锁方式不也同样“真香”吗?但此类方案不可避免的要保留住“刘海”。所以,包括苹果在内,将来手机的发展方向,一定是「真」全面屏的时代,或许在不远的未来,我们可以看到更富有科技感的屏幕下摄像头的技术方案。那么大家有没有想过,是什么促使近些年手机发展的这么迅速?除了半导体制造工艺的改进,我想,更重要的原因,是以WLP(晶圆级封装)和TSVs(硅通孔)为代表的先进封装技术的应用。这些所谓先进封装技术究竟是什么意思?对我们的日常生活有什么影响?在这里小编先卖个关子,想要说清这个问题,还需要从半导体制造和封装技术的起源和演变说起。摩尔定律:半导体工艺的基础1965年,时任仙童半导体公司的Gordon Moore在《Electronics》杂志上第一次提出,一块芯片上集成的晶体管和其他元器件的数量,当价格不变时,约每隔18-24个月便会增加一倍,性能也将提升一倍,这就是著名的摩尔定律。随后便是50多年的工艺提升,半导体的制程技术,在摩尔定律的加持下,呈现指数增长的态势,凭借光刻技术的发展,从上世纪80年代还是微米量级的制程水准,迸发到如今英特尔和台积电可以量产的7nm时代,甚至计划在2025年的3nm工艺,进步可谓“触目惊心”,然而,这种状态不可能无穷无尽下去,普遍认为在7nm技术节点后,摩尔定律将迎来失效… … 摩尔定律的失效:半导体制造技术的瓶颈让我们想象一下,在标准的8人百米跑道上,大家可以相安无事的相互角逐,但如果这个跑道宽度没有变化,而人数增加了,变成了16个人,此时还能够大幅摇摆,没有相互影响吗?ok,你说运动员身体宽度太大,换成小孩子不就可以了吗?那这个人数变成了32、64… 呢?无论是谁在比赛跑道上,当数量增加到一定程度,而跑道宽度没变,甚至还需要缩小的时候,总要有个物理极限,在这个极限,就是摩尔定律失效的主要原因之一。纵使技术上能够实现,芯片内集成电路的两条导线也不可能无限接近。因为两个导线的距离过近会导致「量子跃迁」,也就是说,一条导线上的电子会越过中间的绝缘体跑到另一条导线上,造成电路失效。从另一个维度来看,摩尔定律难以维系的重要原因,是纳米芯片制造的资金壁垒高的离谱,一条28nm工艺制程芯片生产线的投资额大约是50亿美元,20nm的高达100亿美元,随着制程工艺升级换代,生产线投资呈几何级飙升,单单是一台极紫外光刻机(EUV)的售价,就将近10亿元人民币。后摩尔定律时代:新技术路线的开拓单纯地减小晶体管(MOS)尺寸,在技术和成本上实现的难度非常高,但是,延续摩尔定律并不是只有一条路可以走。以3D封装为代表的先进封装技术,在不缩减工艺尺寸的前提下,增加了chip(器件单元)集成度从而提升性能并缩减成本,这种技术路线被称为新摩尔定律(More than Moore)。举个例子,传统封装先将晶圆Wafer切割成小的单元Chip,然后再逐个封装;而新的WLP晶圆级封装(Wafer-Level Package)是在整片晶圆上进行封装和测试,然后再切割成一个个的IC Chip。相比于传统封装,新的WLP封装流程有着肉眼可见的优势:① 省去了引线键合,封装后的体积即等同IC裸晶的原尺寸,Wafer面积不变,可同时封装更多的芯片,提升了集成度;②减少了测试和封装工序,有效地降低了成本;③降低芯片的贴装高度,跟进了数码产品日益变薄的需求。 * 晶圆级封装(WLP)流程(Brewer science官网)其实,上述的例子与我们消费者并不遥远,有感于近些年手机等数码产品的性价比的提升,封装成本的降低功不可没;如果说,有哪种封装技术的进步,是与我们息息相关的,毫无疑问的要属TSVs(硅通孔)封装形式的开发和应用。TSV封装技术及其失效分析在三维封装中,封装形式逐渐由Wire bonding转向TSVs,技术的革新,突出的外化表现是手机指纹解锁方式的改变,即iPhone 5s为代表的电容式Home键指纹解锁,转向安卓全面屏手机的屏下指纹解锁。上图中,是iPhone 5s为代表的电容式指纹解锁,采用Wire bonding式3D封装,表面开孔,手指与盖板(玻璃、蓝宝石、陶瓷)直接接触,而在芯片一端,需要进行塑封处理,将金属引线掩埋,形成平整的表面。其原理是依据指纹在盖板上按压时,会形成高低不平(肉眼不可见),这时候传感器会记下指纹的形状,以供日后解锁使用。 然而,随着智能手机向「厚度更薄、屏占比更高」的方向发展,wire bonding封装方式的缺点逐渐凸显:键合线容易造成短路,虚焊、脱焊等封装不良问题,塑封处理导致芯片无法进一步变薄,最致命的,如果把这种封装芯片放在屏幕下方,隔着一层屏幕模组会导致传感器收集不到足够的指纹信号,无法顺利完成解锁。好在TSV新型封装的出现解开了这种困局,所谓TSV,又称硅通孔,指的是在芯片3D晶圆级封装的基础上,在芯片间或晶圆间制作垂直通道,实现芯片间的垂直互联,具有高密度集成、电性能提升等优点。 目前市面上的主流手机,几乎清一色的采用了OLED和AMOLED屏幕,除了苹果,均采用了屏幕下指纹解锁技术,而OLED屏幕面板能够「霸屏」全面屏的旗舰机,其成功是离不开TSV封装的。所谓的OLED,其工作原理是利用了光的折射和反射,当手指按压屏幕时,OLED面板的每个像素点能够自主发光,照亮指纹的反射光线透过OLED层像素的间隙返回到紧贴于屏下的传感器芯片上,获取的指纹图像与手机初次录入的图像进行对比,最后进行识别判断,完成解锁。OLED能够顺利完成解锁,依据的就是下方传感器能够无衰减的接受反射信号,试想一下,如果在芯片表面盖了一层盖子(塑封胶体),识别率会大打折扣,所以,TSV结构是完成该解锁技术的关键。除此之外,TSV封装还可以有效的减小封装厚度,顺应了数码产品变薄的潮流:三星电子在2006年成功将TSV技术应用在晶圆级堆叠封装16Gb NAND闪存芯片中,将系统厚度减薄了160μm。系统集成度越高,相应的失效问题越多,失效分析的难度也就越高,TSV也不例外。传统的Wire bonding堆叠,失效多集中在键合线和焊点处,相比于TSV封装,更加的「宏观化」,而TSV结构更微观,并且大量的失效不良,多集中在内部通孔,对技术人员和检测设备都提出了更高的要求。TSV内部通孔需要电镀Cu,而Cu的生长过程是自下而上进行的,并且生长过程所需要的促进剂和抑制剂消耗不均匀,通常抑制剂在底部先消耗,于是底部的促进剂发挥主要作用;再由于有机物的抑制剂中,高浓度的Cl、N、O杂质元素大量分布在晶界上,通过钉扎效应(Zener pinning)对晶粒的自由生长起进一步的抑制作用,导致顶部的Cu晶粒较小,最终在通孔内部形成了内应力,导致裂纹、胀出等不良现象。 * TSV通孔内部晶粒尺寸对比 & 空洞、裂纹、填充缺失典型缺陷结语 & 后续预告半导体先进封装技术的迅猛发展惠及了我们的日常生活,然而对于半导体的从业者,这一切来的并不容易,先进且更复杂的结构拔高了不良分析的门槛值,文章中列举的案例都是通过大面积截面抛光,再辅以SEM观察,而在更多的失效分析中,通常是需要利用FIB进行某(数)个TSV孔进行定点切割分析,所以在半导体封装产线高时效性要求的背景下,从制样到成像的分析效率就显得格外重要,众所周知,FIB是定点分析的利器,但效率不高也是普遍存在的通病,所以,后续内容中,我们会介绍一款超高效率的激光刻蚀设备microPREP,辅助FIB,可以显著缩短整个失效分析的周期,敬请期待!参考文献:[1] T.Frank, S.Moreau, C.Chappaz, L.Arnaud, P.Leduc, A.Thuaire. Electromigration behavior of 3D-IC TSV interconnects[C]. 2012 IEEE and Electronic Components and Technology Conference (ECTC), 2012, 326-330.[2] 程万. 高深比的TSV电镀铜填充技术研究. 中国科学院大学,2017[3] KANG U, CHUNG H J, HEO S, PARK D H, LEE H, KIM J H, LEE J W. 8 Gb 3-D DDR3 DRAM using through-silicon-via technology[J]. IEEE Journal of Solid-State Circuits, 2010, 45(1): 111-119.[4] OKORO C, LABIE R, VANSTREELS K, FRANQUET A, GONZALEZ M, VANDEVELDE B, VERLINDEN B. Impact of the electrodeposition chemistry used for TSV filling on the microstructural and thermo-mechanical response of Cu[J]. Journal of Materials Science, 2011, 46(11): 3868-3882.
  • Top-Unistar和Advacam联合推出光子计数、像素化X射线探测器探测模块加工解决方案
    北京众星联恒科技有限公司作为捷克Advacam公司在中国区的总代理,一直在积极探索和推广光子计数X射线探测技术在中国市场的应用,凭借过硬的技术理解,高效和快速的反馈赢得厂家和中国客户的一致赞誉。目前已有众多客户将Minipix、Advapix和Widepix成功应用于空间辐射探测、X射线小角散射、X射线光谱学、X射线应力分析和X射线能谱成像等领域。我们根据Advacam在传感器研发、加工,晶圆焊撞和倒装焊接等加工的能力,在中国市场推出相应技术支持,为国内HPC探测器的研发团队(包括企业)就传感器加工、各种类型晶圆的焊撞和不同形状的混合像素探测器的倒装焊接等方面需求提供工艺服务。目前已为多家客户提供了满意的工艺解决方案,获得好评及持续服务合同。无尘室Advacam在Micronova拥有世界一流的无尘室。2600平方米的无尘室是北欧国家最大的硅基微结构制造、研发设施。有多种用于硅晶圆前端加工工具和完整的倒装芯片生产线。半导体材料的所有工艺服务均在芬兰埃斯波的Micronova工厂内完成。1. 传感器加工服务ADVACAM的标准产品包括在厚度为200 µm至1 mm的6英寸(150 mm)高电阻率硅晶圆上制造像素化,微带和二极管传感器。甚至可以使用成熟的载体晶圆技术来制造更薄的传感器(甚至只有几微米)。此外,ADVACAM还为大面积传感器组件提供了在8英寸(200毫米)高电阻率晶圆上的Si平面传感器处理工艺。ADVACAM专门制造无边缘的像素和微带传感器。无边缘传感器是整个传感器都对辐射敏感。该技术可提供小于1微米的非敏区域。无边缘传感器是在6英寸(150毫米)高电阻率硅晶圆上制造的,厚度为50 µm至675 µm。1.1 平面硅传感器可以制作任意极性的平面硅传感器,如p-on-n,n-on-n, n-on-p和p-on-p。p-stop和p-spray技术都可以用于阳极电极的电隔离。基于在6英寸和8英寸晶圆上加工的传感器均有低泄漏电流和高击穿电压的特点,通常比耗尽电压高许多倍。整个加工过程的交货时间很短。Advacam为晶圆连续加工提供了可能,包括可通过凸点下金属层沉积、凸点焊接,将晶圆切成小块,完成传感器和读出芯片的倒装焊接。我们还提供探测器模块与PCB的引线键合。进入熔炉的8英寸硅芯片1.2 无边 Si传感器各种尺寸的无边缘传感器经过了严密的制造和进一步加工。Advacam不仅可以提供无边缘传感器加工服务,还可以提供整个加工过程,通过凸点下金属层沉积和倒装焊接步骤以提供一整个无边缘传感器模块。将无边缘传感器用于大面积拼接可以优化生产良率。这是目前只有ADVACAM能提供的独特服务。平面传感器(左),像素矩阵周围的无效区域较宽。无边缘传感器(右侧)在传感器的物理边缘也敏感。过往案例- 左右滑动查看更多 -2. 晶圆焊撞ADVACAM使用电化学电镀工艺在6- 8英寸晶圆上沉积UBM和焊料凸点。焊撞工艺只适用于完整的晶圆(而非单个芯片)。沉积的焊料凸点的直径和间距分别从20 µm和40 µm开始。晶圆凸块工艺需要一层掩模。该工艺与标准的8英寸 CMOS芯片(带有缺口)以及6英寸和8英寸硅传感器晶圆兼容。2.1 高温焊撞ADVACAM提供的典型焊料合金是共SnPb(63:37)和InSn(52:48)合金。如果客户要求,还可沉积AgSn焊料。高温焊撞适用于Si或GaAs传感器的倒装焊接。小间距焊球凸点2.2 低温焊撞InSn焊料用于化合物半导体传感器的低温焊接。这些传感器,如CdTe和CdZnTe,通常对温度敏感,它们的热膨胀系数明显大于硅。低温焊料凸点沉积在读出ASIC的每第二个像素点上2.3 焊撞技术由于沉积率高,清晰的化学机理、沉积均匀性好,电镀已被广泛应用于倒装芯片凸点的沉积。UBM和焊料凸点都将使用相同的光刻胶掩模依次沉积。电镀通常需要一个掩模层和一个光刻流程。UBM/焊料在光刻胶开口处电沉积,在去除光刻胶后,沉积的金属层充当蚀刻晶圆导电种子层的掩模。尽管电镀过程很简单,但该过程对不同材料的化学相容性非常敏感。图片描绘了一个像素在电镀工艺的不同步骤中:1)芯片清洁,2)场金属沉积(粘附/种子层),3)厚胶光刻,4)UBM电镀,5)焊料电镀,6)光刻胶剥离,7)湿法蚀刻种子层,8)湿法蚀刻粘合层,9)回流焊。3. 倒装焊接ADVACAM一直参与各种间距和尺寸的混合像素探测器的倒装焊接,多年来累积了特殊的能力。今天,ADVACAM为客户的高价值组件提供商用倒装芯片服务。除了以生产为导向的工作外,ADVACAM还帮助客户进行研发项目。3.1 标准倒装焊接大多数倒装芯片的委托工作是在硅传感器模块上粘合CMOS芯片,但是复合半导体传感器(GaAs, CdTe和CdZnTe)越来越受欢迎。ADVACAM已经为这些传感器开发了自己的晶圆焊撞和倒装焊接工艺,如今它们通常能以高成功率进行倒装焊接。典型的焊料结构是将焊料凸点与UBM一起沉积在ASIC读出晶圆上,并且传感器晶圆具有可焊接的UBM焊盘。Si传感器倒装焊接到CMOS读出芯片模块的横截面SEM图像3.2 特殊的倒装焊接在特殊的元件(如带有Cu Through Silicon Vias(TSV)的CMOS芯片)中,最好是将焊料凸点沉积在传感器晶圆上而非是在非常昂贵的带TSV的CMOS芯片上。无边缘传感器倒装焊接到薄的MPX3 TSV 芯片4. 其他服务ADVACAM还提供其他一些与半导体传感器制造和微封装相关的服务,以便为其苛刻的客户提供一站式交钥匙解决方案。ADVACAM正在不断扩大我们的服务组合,提供新的技术解决方案。4. 1 晶圆切割服务ADVACAM使用传统的金刚石刀片切割提供定制切割服务。传感器晶圆的切割非常敏感,因为微裂纹可能会引入大量的泄漏电流。ADVACAM专门从事非标准切割工艺,慢进料速度可优化切割质量。采用分步切割(两次切割)可以获得最佳切割质量。CMOS芯片保护环的精细切割4.2 Timepix读出芯片探测ADVACAM具有自动探测Timepix读出芯片的能力,从而对优质和劣质芯片进行分类和区分。这种技术与焊撞一起节省了客户的时间和金钱,避免了对晶圆的不必要污染。CMOS读出晶圆探测图和根据其特性分类的芯片4.3 传感器和掩模设计服务ADVACAM还提供半导体传感器设计服务,并通过光刻掩模设计帮助其客户获得所需的元件性能。最佳的传感器设计需要了解完整的半导体工艺,从材料到选择合适的触点和保护环,以及传感器的倒装焊接。布局通常以gds格式交付给客户。Si传感器芯片的一角的近视图
  • 学术会议“火爆”背后隐现各方利益魔手
    来自中国科协及全国学会每年组织的学术交流会议的数据显示,近年来,我国每年组织的学术交流会议(包括国内会议和国际会议)逐年递增,由2004年的2962次,2005年的3193次增加到2006年的3427次。参加会议人次也逐年攀升,2006年达53.9万人次。  学术会议为什么这么火?记者调查发现,学术会议学术缺失背后隐现各方利益魔手。  发论文成了参加学术会议的首要目标  定期上网查看最新的学术会议信息成了刚从国外交流归来的张芳(化名)的“必修课”。  北京不少高校都开始与国外大学联合培养博士,张芳就是其中的一员。来自北京一所理工类大学的她有两年时间在英国读书,但是项目做得并不顺利,没有发表像样的论文。回国后急于毕业的张芳因为论文数量不够,在同学的点拨下,把目标瞄准在国内举办学术会议的论文收录上。与学术期刊相比,学术会议收录论文更容易,刊出的周期也会缩短好几个月。  张芳所在的博士班班长赵同学下手要更早些。  今年3月底,赵同学毕业论文的附录上整整一页都是发表在国际学术会议上的论文,均被EI(工程索引)收录,而这些所谓的国际会议都是在国内召开的。这位班长甚至在一个学期发了4篇学术会议论文。  武汉大学副教授沈阳对中国期刊网上的数据进行了专门统计,结果吓了一大跳:我国2008年发表会议论文高达171542条,2009年会议论文160043条,“这还是不完全统计的数字。”  沈阳介绍,在一些会议网站的留言或高校相关的学术论坛中,关于一项学术会议是否被EI、SCI(科学引文索引)等收录成为最热门的问题之一,而在多如牛毛的会议中,在其首页的显著位置上大多都打着能被EI、SCI一类的检索的头衔来诱惑研究者们。  “因为这些是在校研究生们能否毕业的决定性因素,也是求职中的重要砝码 对于科研人员而言,则可以列入自己的年度工作考核报告,申报课题的评审总结报告,甚至提职报告。”沈阳分析。  他还发现,国内2008年发表于期刊和学术会议的论文约有248万篇,而高校教师、在校生、科研人员、技术人员等有论文发表需求者合计超过1180万人。发论文从而成了一些研究人员参会的首要目标。  华中地区一所高校的老师赵岩(化名)博士论文投出两个月,就收到组委会关于文章被录用的通知。  让他诧异的是,草草投出的论文居然没有任何审稿意见,找博士班的同学一问才知道,投过学术会议论文的同学虽然接到邮件,说是经过专家评审论文被录用了,但是全都没有审稿意见,“大家差不多都是百发百中,一次性过关。”  手捧厚厚一本论文集,赵岩多少还是有些心情复杂,除了前面几篇是知名教授的约稿之外,很多论文一眼就能看出是和自己一样粗制滥造的产品,实验不完整,推理有漏洞,甚至一篇文章里错别字都能找出不少。  登上会后的旅游车那一刻,赵岩不禁哑然失笑,“原来都是一帮和自己一样的年轻人。”  不在中国开会,就在到中国开会的路上  坐头等舱,住星级宾馆,吃中国美食,甚至还能游山玩水,而这一切全部都免费……针对一些热衷于在中国开会走穴的“洋专家”,有网友为他们量身打造了这样一句口号,“不在中国开会,就在到中国开会的路上。”  近年来,一些 “会虫子”频频出现在我国,这背后,源于组织方的需求:会议可冠以“国际化”的名头。  中科院计算所研究员闵应骅将一些单位对举办学术会议的热衷总结为四大利好:给单位扬名 给课题扬名 给个人扬名 借会议大量发表文章。  比如对一个单位而言,一年举办多少国际会议,是“政绩”的一部分——项目结题、学科建设、人才计划申报、院士评选等考评中都会出现这样的指标:举办了几次高层次学术会议、作过多少次学术会议的报告。  此外,一些课题或项目经费中,也有专门对于举办学术会议的列支,“这也是一笔不能不花的钱。”  如1999年以来,教育部在全国66所高校相继设立151个重点研究基地,各地建立省级重点研究基地400多所。基地建设中要求:各重点研究基地每年须主办一次全国性或国际性学术会议,对此还有专门的预算经费。  一位不愿透露姓名的教授称,“管理部门对于经费的审批会关注上次的钱是如何花的,是否花完,通过这种形式花掉经费后无疑有利于申请到下一批更大的款项。”  召开学术会议也是一个单位建立更广泛的学术交流、扩大知名度、结交各类资源掌控者的好机会。  北京一家科研单位的老研究员告诉记者一个故事:在某技术领域,我国从未在国外的某权威期刊上发表文章。几年前,单位引进了一位海归人才,这位人才跟国外相关领域专家有些联系,去年在中国主办了一次学术研讨会,借此与一些“大牛”建立起联系,今年连续在该期刊发表了三篇文章,这也打破了国内的纪录,单位里又是出海报又是跟上级汇报,成为一大盛事。而最关键的是,“这就是对政府报告、要钱的加分点。”  “有这样的突破,一方面是我国的科研提升吸引了国外研究者的目光,另一方面则不得不归功于这次学术会议。”这位老研究员感慨,自己现在收到最多的邮件就是一些二本、三本院校的学术会议邀请函,“他们已经谙熟了这样的套路。”  学术会议注册费涨价赛房价  “学术会议注册费涨得赛房价,以前1000元左右的注册费现在都是2500元左右,翻了一番,菜买不起了,会也开不起了。”在科研人员聚集的科学网上,这样的留言俯拾皆是。  这背后隐藏着一个鲜为人知的事实——一场会议收入往往也相当可观,虽然不排除纯粹进行学术交流的正规会议,但也不乏一些单位抱着赚钱的目的举办会议。  华中地区一所重点高校的张教授曾协助学院办过两次学术会议,他给中国青年报记者算了一笔账:目前国内千人以上的学术会议不在少数,按照1000人与会,可以收取注册费和论文发表费、住宿等各项费用,人均3000元,就有300万元,除掉邀请大腕演讲费用,支付给国外出版机构费用,租用场地、住宿安排,一场会议往往可以赚到100万元左右。  此外,如果会议达到一定级别,还可以拿到学校一笔数目可观的补贴。  与此同时,一些会议还招募公司代表,名义上为其提供商机,实为收取大量的会议赞助费,“这就是借学术会议大肆赚钱的实质。”  有学者实录了某省一次风湿病学会会议日程表其中一天议程:  9时30分至11时30分,硬化症讲座   11时至11时20分,A公司产品介绍   11时20分至11时40分,B公司产品介绍   11时40分至12时,C公司产品介绍。  14时至15时30分,皮肌炎讲座   15时40分至16时,D公司产品介绍。  18时至21时,E卫星会。  “学术会议从头到尾感受不到学术气氛,实际已变成了药厂的‘药品推销会’。”这位学者感慨之余做了一项统计:总共两天半的会,会议有效时间980分钟,药厂发言及其促销活动却占了595分钟。  学术会议蕴含无限商机,有人则看准了这样的机会,由此催生出会议公司,专门来张罗各种“学术会议”,并形成了一条产业链。  武汉大学中国科学评价研究中心主任邱均平教授对媒体透露,这些会议公司,多为从国外引进的所谓高级人才所开办,他们一般就是租个办公室,雇几个懂外语的员工,便可开始组织筹办国际会议。这种会议公司的主要收益,来自于与会人员交纳的参会费。费用一般在每人2800元以上。即使这样,也有市场需求。  “这种会议也就召开一天,上午搞个开幕式,下午分组讨论一下,连闭幕式也没有就结束了。”在邱均平看来,这些会议公司,也是造成国际会议泛滥的根源之一。“原本我以为这种公司只在武汉有,后来通过同行了解到,原来北京、上海也都有类似的公司。他们的利润很大,一年能赚上百万元。”他说。
  • 专家约稿|微电子大马士革工艺的发展现状
    微电子大马士革工艺的发展现状赵心然中科芯集成电路有限公司随着“摩尔定律”逼近物理极限,前道晶圆制造的特征尺寸发展进程变慢,后道布线能力的升级成为提升集成电路密度的关键,而大马士革工艺是晶圆级再布线技术下一阶段需要引进的重要工艺,不仅可以将线宽/线距从PI-Cu 5/5 μm缩减到亚微米级别,还可以利用SiO2基介质材料加工工艺进一步提升再布线层的可靠性,甚至可以推进混合键合先进封装技术的加速落地。针对大马士革工艺,本文将对其工艺原理、流程、难题与突破进展进行总结,便于在封装领域中落地,将会为后道制造更精细的再布线提供新的思路。1 前言半导体产业初期,都是以铝(Al)作为互连材料,后来为了减小互连线的电阻、减轻电子“跳线”现象、避免电迁徙效应,IBM公司首先提出了以铜(Cu)作为互连材料,由于该工艺方法与2500多年前的叙利亚大马士革城铸剑工艺有异曲同工之妙,故以“大马士革”(Damascene)命名。大马士革工艺已经被广泛应用到了微电子工业中,大致思路是,先利用离子刻蚀、光刻蚀等技术在硅片上刻蚀好沟槽和通孔,然后将Cu电镀进入凹陷的硅片中,最后用化学机械抛光(CMP)将多余的Cu磨平,获得嵌有Cu线路的平整硅片。这种镀铜思路最早应用于前道PCB板上Cu线路的制造,虽然目前的工艺极限可以实现4 nm以下线宽,但28 nm被认为是收益最高的线宽,后来大马士革逐渐被中道和后道封装工序采用,来生产比引线键合、倒装、再布线+凸点等方法更加精细的封装系统。一方面,大马士革工艺的布线尺寸可以做到很小,目前已经可以做到几纳米的Cu线宽和焊盘,这是引线焊点、植球/植柱等毫米、微米级连接点所不能比的,这样就可以实现更高密度的互连;另一方面,它不仅可以用来制造2D方向上的沟槽,还可以制造3D方向上的通孔,这对2.5D/3D封装技术的发展也有促进作用。利用了大马士革的最具有代表性的封装技术就是Xperi公司的混合键合(Hybrid bonding),利用极其光滑的表面上的分子间作用力,直接将两个布有大马士革Cu线路的硅片“面对面”相互连接,这种工艺巧妙避开了植球/植柱、转接板、底填胶、引线等各类键合中间物体,在一定程度上模糊了前道和后道的界限。综上,大马士革工艺的精度直接影响了各类3D封装的精度,对微电子工艺一体化至关重要,是未来先进封装必不可少的一个环节,所以研究开发高精度大马士革工艺是很有意义的。2 大马士革工艺当芯片特征尺寸(线宽)达到25 μm以下时,会产生Cu线路间寄生效应,阻容(RC)耦合增大,信号传输延迟、串扰噪声增强、功耗增大、发热增加,器件频率受到抑制。线路之间的介质介电常数(k)对解决上述问题很关键,k值由公式k=Cd/(ε0A)计算,其中ε0为真空电阻率8.85×10-12 F/m,C为电容,A为电极面积,d为膜厚,均使用国际单位。为了减少寄生电容,现在经常使用多孔SiO2、掺氟SiO2(FSG)、掺氟聚酰亚胺(F-PI)等低介电常数材料(Low-k材料)。对于k值是否足够低,业界有以下定义:广义上,k3.9的材料即可被称为Low-k材料,但某些晶圆制造企业会有自己的k值界限标准,例如,IBM公司的标准是k2.8才可以被称为Low-k材料。Low-k材料的特性如表2-1所示,可见其拥有非常惰性的物理化学性质,这对于避免线路之间的电信号泄露和提升布线层的可靠性都是至关重要的。表2-1 Low-k材料性质要求性质类型要求电学低损耗、低漏电流、高击穿场强、尺寸稳定性、各向异性力学高附着力、高硬度、低应力、高机械强度热学高热稳定性、低热膨胀率、高热导率化学低释气量、耐腐蚀性、不与金属反应、低吸水性通俗地讲,大马士革工艺就是在Low-k介电材料上刻蚀出凹痕并电镀Cu的过程,并不会刻蚀较深的Si晶圆。IBM最早的大马士革工艺称为铜质双重镶嵌,所谓“双重”,即需要刻蚀出通孔和沟槽两种形状,在这两种形状中溅射Ti、Cu种子层,再电镀出Cu互连线,故该工艺也常被称为“双大马士革”(Dual-damascene)。通孔用于垂直方向的互连,直径小;沟槽用于平面方向的互连,直径大。此处的通孔与硅通孔技术(TSV)不同,大马士革刻蚀的是以SiO2为主要成分的介电层材料,而TSV刻蚀的是Si晶圆,由于Low-k介电层很薄,所以大马士革通孔的深度远不及TSV通孔。大马士革工艺有三种路径选择:1)先通孔后沟槽;2)先沟槽后通孔;3)自校准同步沟槽通孔。其中,2、3两种路径分别因为沟槽中的光刻胶堆积效应和校准工艺难度大而被逐渐淘汰,目前应用最广的是第一种先通孔后沟槽的工艺路径,该路径中沟槽刻蚀是最困难的。如图2-1所示,Cu线上方一般会有两层Low-k介电材料,中间夹有一层阻挡层用于更好地刻蚀出沟槽。整个刻蚀流程为,先在Low-k介电材料表面涂覆PR胶,曝光显影后,干法刻蚀穿透表面硬阻挡层和中间阻挡层直达底部SiN阻挡层,然后重新涂覆一层PR胶,使通孔中保留少量PR胶,刻蚀出沟槽,最后洗去PR胶。中间的阻挡层方便通孔和沟槽的分步刻蚀。图2-1 先通孔后沟槽的刻蚀方法示意图当前上海华力微电子有限公司还发展出了一体化刻蚀方法(All-in-one,AIO)[1],即把上述流程中的通孔刻蚀、去除光刻胶、沟槽刻蚀三个步骤合为一体,在同一道工序中完成,具体工艺流程如图2-2所示,其优点是仅需要3步即可完成,与传统的先通孔后沟槽的工艺质量相比,其在小平面控制、光刻胶选择比、通孔边缘粗糙度等方面也有着较大的优势。图2-2 一体化刻蚀方法示意图目前大马士革工艺对光刻精度的要求越来越高,由于Low-k材料是多孔材料,质地较软,容易在高能量的刻蚀下出现侧壁弯曲、阶梯、栅栏等缺陷,故对射频能量、气体流量、压力的控制要求极高,需要经过大量理论计算和实验才能摸索出最优化的光刻条件。不只是光刻,整个大马士革工艺中存在着各种各样的难题,电镀、清洗、等离子体刻蚀、磨平抛光等各个环节都需要精雕细琢,才有助于实现高质量、高可靠性的电路互连,也为大马士革工艺在封装领域的应用奠定良好的基础。以下介绍各类前沿难题与突破,综合论述大马士革在应用时要重点关注的问题。3 难题与突破3.1 低电阻通孔制备难题[2]与沟槽布线相比,大马士革通孔线宽更窄,所以很容易产生更大的电阻,对电信号传输造成损耗。为了解决通孔电阻过高的问题,IMEC的Marleen等人将通孔制备为下半部是钨(W)上半部是Cu的复合型金属通孔。如图3-1(a)~(c)所示,通孔的深度为70 nm,介质层采用SiOCH低介电材料,k值为3.0,使用CVD沉积SiC阻挡层,最终获得的通孔线宽/线距为16/16 nm。图3-1(d)为该结构的电阻值,在相同的通孔直径下,W-Cu复合型通孔电阻值明显低于纯Cu通孔,在通孔直径为10 nm时,W-Cu通孔电阻仅为Cu通孔的一半。该工作还对Wu-Cu复合型通孔的热储存性能做了验证,在200℃的N2气氛下保持150 h后可以储存热量1000 h,证明了该结构的可靠性很高。该工作为微电子布线的材料创新提供了新思路。图3-1 W-Cu复合型大马士革通孔制备方法与电阻效果3.2 电迁移失效难题[3]越细小的Cu线宽和线距,越容易出现电子迁移现象。这种现象的原理是,当电流通过Cu线时,会使Cu原子发生迁移,迁移方向与电子移动方向相同,导致的问题称为失效现象,包括两方面:1)移动的Cu原子原来的位置留下了空洞,导致开路,通常以电阻增加10 %作为判定失效的标准;2)移动的Cu原子在其他地方停留,造成连线间的短路,短路会造成严重的逻辑功能紊乱,现象更加明显。迁移路径分为2种,如图3-2所示,下方金属线1宽较大,上方金属线2线宽较小,中间存在通孔,当电子由上至下迁移(金属线2至金属线1)称为顺流电迁移,电子由下至上(金属线1至金属线2)称为逆流电迁移。顺流迁移失效规律单一,更容易检测和改善,但逆流迁移失效原因复杂,不容易改善。2013年,上海交通大学针对电迁移问题,优化了大马士革结构的工艺参数,该工作就是专门针对逆流迁移失效展开研究,并寻找到了改善失效问题的方法。该实验所刻蚀的Low-k材料为SiCOH,阻挡层为SiCN,种子层为TaN/Ta+Cu(其中含Ta材料起到了粘结作用),整个结构Cu线宽为45 nm。图3-2 逆流电迁移截面示意图图3-2中还标记了大马士革结构的重要参数,可将4个参数归纳为2种深径比,有关通孔的深径比W1 = HD/D1,和有关沟槽的深径比W2 = HT/D2。逆流迁移失效的位置通常有2种,通孔底部和通孔斜面。一方面,如果种子层过厚,通孔会提前封口,在底部形成空洞,发生底部失效,经常发生在晶圆边缘;另一方面,如果溅射种子层的方向过于竖直,不利于在通孔斜面(侧壁)上积累种子层,那么斜面上就容易形成空洞,发生斜面失效。经实验与仿真,研究得出结论,减小W1和W2可以有效改善2种失效现象,具体的方法是:1)减小Low-k介质层总厚度HD;2)减小沟槽深度HT;3)增大通孔上方直径D2。当W1低至4.67,W2低至1.85时,可有效避免失效问题。3.3 电镀添加剂优化[4]上海集成电路研发中心有限公司的曾绍海等人在2018年针对电镀铜添加剂进行了研究。电镀添加剂涉及3种试剂,加速剂A,抑制剂S,平坦剂L。根据文献报道,加速剂A通常使用的是聚二硫二丙烷磺酸钠[bis-(3-sodiumsulfopropyl disulfide),简称SPS],SPS可以在铜沉积的电化学反应中参与到电荷转移步骤中,加速电荷转移过程,此外,SPS还可以在表面形成硫化物,加速Cu沉积时晶核的形成。抑制剂S通常使用的是氯离子Cl-和聚乙二醇(PEG),其中PEG可以在阴极表面阻挡活性位的暴露,而吸附在阴极上的Cl-有助于增强PEG的这种阻挡作用[5]。平坦剂L通常使用的是乙二胺四乙酸二钠(EDTA-2Na),因为EDTA含有2个自由电子对,4个亲水羧基基团,这种结构有助于阴极表面催化析氢反应的进行,析氢的气体张力对电镀层的抛光是至关重要的[6]。该工作使用了多种添加剂配方,探究3种成分的比例对Cu电镀层质量的影响,实验结果表明,抑制剂S的比例过高会引起Cu镀层应力的升高,平坦剂L的比例过高会增加Cu镀层内的杂质含量,也会增加Cu镀层的应力,过高的应力不利于Cu镀层的可靠性。最终,A3/S9/L2为最佳的添加剂配方,300℃下的封装级电迁移测试结果达到可靠性要求,大于10年。如图3-3所示,该工作还展示了SRAM产品55 nm技术双大马士革工艺的版图,通孔直径70 nm,沟槽宽度150 nm,电镀设备为12英寸Sabre品牌设备。图3-3 SRAM产品版图和TEM图像3.4 Ni污染现象[7]2019年,上海华力集成电路制造有限公司的陈敏敏等人研究了金属Ni污染对大马士革刻蚀过程的影响。在干法、湿法刻蚀过程中,很多化学试剂中含有成分为金属Ni的杂质,超标的Ni会严重影响刻蚀图形形貌,如图3-4所示,在光刻前用含Ni的清洗剂和无Ni清洗剂处理后的大马士革腔体形貌有很大区别,Ni的污染导致了光刻时聚合物颗粒的形成。该工作详细讨论了Ni污染的机理:金属Ni与CO气氛反应生成Ni(CO)4,会降低PR胶的刻蚀率,造成光刻胶的残留,然后会生成聚合物杂质。虽然我们使用的接触式光刻机不会涉及CO气体,该工作提出的反应机理也只是推测,理由源于文献的引证,缺乏确凿的证据,但仍然要警惕Ni单质会直接影响刻蚀速率的可能性,对于目前的光刻工艺还是有一定的指导意义。图3-4 (a) Ni污染的腔体;(b) 无污染腔体的SEM图像该工作为目前中道线工艺优化提供了一个思路:刻蚀形貌不理想有可能是原料纯度问题。原材料的纯度虚报在工业生产中屡见不鲜,只有通过购买后二次检测才能获得更真实的原材料信息。原材料成分精确的检测方法有:电感耦合等离子体质谱分析(ICP-MS),原子发射光谱分析(OES),X射线荧光分析(XRF)等。而我们常用的电镜能谱(EDX)精度较低,X射线衍射(XRD)、X射线光电子能谱(XPS)、红外光谱(FTIR)等方法检测对象较局限,不推荐用于原料成分的精细检测。3.5 等离子体损伤难题[8]2019年,中科院大学的赵悦等人从天线扩散效应出发,提出了改善大马士革等离子体损伤的方法。干法刻蚀和Low-k材料沉积的过程需要使用到等离子体技术,但高能量的等离子体会导致充电损伤,降低体系的可靠性。其原理是福勒-诺德海姆(FN)隧穿过程,由于等离子体携带高能光子,当光子能量超越Low-k材料的禁带宽度时,会令材料的电子从价带跃迁至导带,形成短路,所有Cu连线作为一个等势体,会从各个方向收集Low-k介电材料的电荷,所以收集电荷的面积大于连线上表面面积,从而增大了从Cu流向栅极的电流,使栅氧化层可靠性降低。这种电流放大的效应就是天线扩散效应。该工作展示了大马士革工艺的介质层结构,如图3-5所示,各金属层间介质为Low-k材料FSG与一层SiN阻挡层,而最上面是正硅酸乙酯TEOS。TEOS为常用的简单介质层,因为上表面并不需要考虑寄生电容,只需要起到防氧化、防腐蚀作用即可,TEOS完全水解后会形成极细的SiO2,起到保护作用。与FSG相比,上表面的TEOS层不容易被等离子体损伤,原因有:1)PECVD沉积时,TEOS使用的是He气氛,FSG使用的是N2气氛,N2激发的光子更容易诱发损伤;2)TEOS沉积时的腔体压强往往比FSG沉积的压强大很多,能有效缓冲离子轰击。图3-5 大马士革介质层结构示意图该工作提出了有效的等离子体损伤改善方法,一方面需要尽量减少单层的Cu面积,把大面积的Cu布线利用通孔分成多层布线(跳线法);另一方面需要增加电流泄放路径,连接到保护二极管结构,如图3-6所示。故在前期的设计阶段就要充分考虑天线扩散效应,在天线比计算中引入扩散比,增强系统的可靠性。图3-6 电流泄放路径示意图3.6 CMP选择比难题[9]大马士革工艺的表面磨平抛光是一项难题,尤其近年来热门的Hybrid bonding技术要求表面足够光滑才能实现键合,目前使用的磨平技术是化学机械抛光(CMP)。2017年,Merhej等人研究了大马士革工艺中金属与介电材料CMP过程的重要参数:材料去除率(MRR),表示一种材料在CMP过程中去除的速率,单位nm/min。如图3-7,该工作在SiO2介电层中嵌入了Au互连线,最小线宽70 nm,深度50 nm,整个流程与传统的光刻工艺相同,构造了一层单大马士革结构。要想得到第8步Au-SiO2共存的光滑平面,必须要使用最优化的Au和SiO2相对的MRR之比。该工作的CMP分为2步,分别是第7步的多余Au去除,这步只涉及纯Au表面,和第8步Au-SiO2共存表面的抛光。经过实验验证,得到了最优化的CMP参数,涉及4个重要因素:1)时间,纯Au去除60 s,Au-SiO2抛光180 s;2)压力,P = 300 g/cm2;3)转速,Vpad = 50 rpm,Vhead = 40 rpm;4)浆料流量,Dslurry = 25 mL/min。最后可得Au的MRR为 40 nm/min,SiO2的MRR为20 nm/min,故Au/SiO2去除选择比为2。使用原子力显微镜(AFM)对表面粗糙度进行表征,所得结果RMS roughness为1.06 nm。该结果对提升本地CMP工艺能力有很大的参考价值。图3-7 70 nm线宽Au-SiO2大马士革工艺流程图4 发展建议与展望虽然大马士革工艺目前已有了很多突破,但仍有诸多难题有待解决,例如,FSG和SiO2刻蚀的方法在其他Low-k介质层材料中的普适性问题、电镀添加剂配方对于多种线宽的普适性问题以及CMP原位实时的粗糙度检测问题等。大马士革工艺的能力依然有很大的提升空间。大马士革在前道生产中应用广泛,在后道封装领域应用较少,但随着前道后道一体化的推进,我们开发大马士革工艺是有必要的,综合上述难题及研究进展,我们开发大马士革工艺应该重点从3个方面入手:1)刻蚀能力,我们目前只有Si刻蚀相关的技术,需要配备SiO2、FSG、F-PI等介电材料刻蚀相关的设备及原材料;2)电镀能力,我们目前拥有湿法电镀的技术,但仍需要结合大马士革的工艺需求对电镀添加剂成分进行优化;3)CMP能力,我们尚无较好的CMP设备,对粗糙度的检测也只用到了台阶仪,应考虑引入CMP设备及AFM表征渠道。大马士革工艺的开发将有利于混合键合技术的开发,是该技术中不可缺少的一环,更有利于增加前道与后道工艺的兼容性,扩大产品订单的种类。大马士革工艺与目前中道线的刻蚀-电镀技术有相似之处,可以在中道线的基础上增添或升级必要的设备,不用从头建立新的产线,具有较高的可行性。近年来,中科芯努力耕耘CPU、FPGA、DSP、存储器、微系统等领域,“十三五”期间在CPU、FPGA、DSP、存储器、DDS、微系统及封装技术领域都取得了显著的成绩,在“十四五”规划中也对相关重点发展方向提出了更高的要求。未来所制造的芯片性能会越来越强大,与之共存的是,芯片之间的互连密度也将迅速攀升。从晶圆制造栅极尺寸14 nm开始,前道工艺节点的演化已经开始变慢,与此同时,封装层面的布线尺寸进步开始加速,从50/50 μm的再布线线宽/线距迅速缩小到5/5 μm,并向着1/1 μm以下的趋势发展。届时,常规的晶圆级PI-Cu布线已经很难满足工艺需求,必须将大马士革布线技术引进至后道封测产线,配合更加精细的焊盘尺寸,实现芯片与封装基板之间的Si基互连。虽然低k值的SiO2介质层成本比PI高,但可靠性和制造灵活性也是PI介质层不可比拟的,各种先进封装技术将在SiO2介质工艺的支撑下实现完美兼容,例如,TSV转接板、内嵌桥芯片、带核基板等部分的组装,都将克服PI旋涂工艺的困难,利用SiO2-CVD沉积的方式,与各类功能性芯片进行灵活的异构集成。由此可见,大马士革布线工艺是后道先进封装技术发展的关键环节之一,而在此方面中科芯具有较大的优势,由于中科芯具备设计-制造-封测-组装全产业链,拥有较为成熟的前道晶圆制造和后道封测工艺基础,将前后道进行技术融合将有利于促进大马士革工艺在后道的落地,全面提升中科芯芯片产品的性能。参考文献:[1] 盖晨光. 40nm一体化刻蚀工艺技术研究. 半导体制造技术, 2014, 39: 589-595.[2] M. H. van der Veen, O. V. Pedreira, N. Heylen, et al. Exploring W-Cu hybrid dual damascene metallization for future nodes, 2021 IEEE International Interconnect Technology Conference, 2021: 6-9.[3] 唐建新, 王晓艳, 程秀兰, 45 nm双大马士革Cu互连逆流电迁移双峰现象及改善, 半导体技术, 2013: 153-158.[4] 曾绍海, 林宏, 陈张发等, 55 nm双大马士革结构中电镀铜添加剂的研究, 复旦学报(自然科学版), 2018, 57: 504-508.[5] M. Tan, J. N. Harb, Additive behavior during copper electrodeposition in solutions containing Cl-, PEG, and SPS, J. Electrochem. Soc., 2003, 150: C420-C425.[6] S. Mohan, V. Raj, The effect of additives on the pulsed electrodeposition of copper, T. I. Met. Finish., 2005, 83: 194-198.[7] 陈敏敏, 张年亨, 刘立尧, 金属镍污染对大马士革刻蚀的影响, 中国集成电路, 2019, 244: 57-87.[8] 赵悦, 杨盛玮, 韩坤等, 大马士革工艺中等离子体损伤的天线扩散效应,半导体技术, 2019, 44: 51-57.[9] M. Merhej, D Drouin, B. Salem, et al, Fabrication of top-down gold nanostructures using a damascene process, Microelectron. Eng., 2017, 177: 41-45.
  • 总预算8.5亿!中国科学技术大学2022年仪器采购意向汇总
    为优化政府采购营商环境,提升采购绩效,《财政部关于开展政府采购意向公开工作的通知》(财库〔2020〕10号)等有关规定要求各预算单位按采购项目公开采购意向,内容应包括采购项目名称、采购需求概况、预算金额、预计采购时间等。近两年来,各大高校、科研院所等纷纷在相关平台公布本单位政府采购意向。中国科学技术大学作为中国科学院所属的一所以前沿科学和高新技术为主、兼有医学和特色文科的综合性全国重点大学,建有11个国家级科研机构、4个国家重大科技基础设施和73个院省部级重点科研机构,在量子信息、单分子科学、高温超导、纳米科学、地球环境、生命与健康等前沿领域取得了一批具有世界水平的科研成果。前沿的研究离不开仪器的支持,中国科学技术大学是科研成果的产出大户,也是科学仪器的使用大户,近年来不断公开仪器类政府采购意向。为方便仪器信息网用户及时了解仪器采购信息,本文特对中国科学技术大学2022年1至12月政府采购意向进行了整理汇总。共收集到178个采购项目,预算金额相加达8.5亿元,采购品目涉及透射电子显微镜、高分辨质谱仪、极低温扫描隧道显微镜、无液氦综合物性测量系统、X射线光电子能谱仪、小动物PET/CT成像系统等多种仪器类型。中国科学技术大学2022年政府采购意向汇总表序号项目名称预算金额(万元)采购日期项目详情13T磁共振系统升级1501月详情链接2多语种语音语言系统服务器3161.871月详情链接3高带宽示波器1502月详情链接4低温扫描隧道显微镜4502月详情链接5窄线宽连续激光器1402月详情链接6窄线宽连续激光器1302月详情链接7落地式超速离心机1183月详情链接8桌面式荧光相关光谱单分子分析仪1783月详情链接9质量光度计1903月详情链接10超高分辨率质谱仪6003月详情链接11玻片扫描成像系统1503月详情链接12正置激光共聚焦显微镜3803月详情链接13海量多源异构数据清洗、标注、加工2503月详情链接14剧毒废弃试剂收运处置1803月详情链接15危化品收运处置228.153月详情链接16危险废物处置1503月详情链接17学位论文评审3803月详情链接18透射电子显微镜40003月详情链接19飞秒激光器1103月详情链接20极低温强磁场物性测量平台3403月详情链接21线路制作、焊接和layout1953月详情链接22线缆1703月详情链接23极低温扫描隧道显微镜7723月详情链接24超高真空多腔室镀膜仪6003月详情链接25单细胞蛋白分析仪1103月详情链接26多通道记录离体电生理平台1603月详情链接27分析流式细胞仪1253月详情链接28生物分子相互作用分析系统2803月详情链接29发酵罐2103月详情链接30小动物活体光学三维成像系统3703月详情链接31高通量微型生物反应器系统3103月详情链接32高通量液滴文库构建工作站1503月详情链接33流式细胞仪分选仪3403月详情链接34单细胞多色空间生物学分析系统2353月详情链接35流式细胞分析仪1203月详情链接36小动物PET/CT成像系统4903月详情链接37转盘共聚焦超分辨显微镜4203月详情链接38晶格层光显微成像系统6803月详情链接39低温扫描隧道显微镜5003月详情链接40稀释制冷机5003月详情链接41定制小光斑真空紫外聚焦装置1703月详情链接42扫描隧道显微镜2503月详情链接43低温腔系统1903月详情链接44闭循环低温光学物性测量系统1953月详情链接45活细胞工作站1203月详情链接46高新校区4至8号楼学生公寓家具采购及安装24713月详情链接47高分辨质谱仪8003月详情链接48多功能原子力显微镜1903月详情链接49流式细胞分选仪1803月详情链接50激光共聚焦显微镜1503月详情链接51高新校区4至8号楼学生公寓窗帘采购及安装124.73月详情链接52GPU计算节点1503月详情链接53多媒体设备1653月详情链接54医院物业服务3003月详情链接5564排螺旋CT3503月详情链接56高端彩色多普勒超声诊断仪(含有剪切波弹性成像及超声造影等功能)1993月详情链接57三维磁场线圈系统2503月详情链接58大型激光雷达方舱1683月详情链接59499.8MHz隔离器系统1403月详情链接60芯片流片加工12004月详情链接61芯片流片加工1504月详情链接62数据机房9324月详情链接63KTX平衡场电源3004月详情链接64脉冲管制冷机2604月详情链接65氦三气体4004月详情链接66高新校区图书馆氛文化围设计1004月详情链接67高新校区图书馆主题学习空间建设4004月详情链接68网络设备2004月详情链接69一卡通自助发卡机1504月详情链接70多语种训练服务器30954月详情链接71多语种语料数据库67334月详情链接72支撑结构高精度快速制备激光切割系统1204月详情链接73未来网络试验平台采购(三阶段)16004月详情链接74教学五楼5101多功能智慧教室教学设备2304月详情链接75自营餐厅前堂保洁服务3804月详情链接761KN电磁力疲劳试验机1854月详情链接77全自动多功能细胞处理系统4505月详情链接78供电增容2005月详情链接79高真空电子蒸发镀膜2605月详情链接80切片系统6005月详情链接81晶圆制造服务1805月详情链接82磁悬浮冷水机组4005月详情链接83400MHz液体核磁共振波谱仪3505月详情链接84透射电镜原位实验系统6005月详情链接85X射线光电子能谱仪5005月详情链接86激光拉曼光谱仪1855月详情链接87液氦1905月详情链接88物质科研楼等楼宇物业管理服务425.995月详情链接89西区3号楼学生公寓更换家具一体床230.45月详情链接90高新园区4-8学生公寓物业管理服务984.545月详情链接91教室学情分析系统环境采购4705月详情链接92南区学生食堂二层前后堂设备采购3205月详情链接93高新园区餐厅前后堂设备采购9005月详情链接94角膜塑形镜项目15505月详情链接95基于SiC材料感应耦合等离子体刻蚀机5005月详情链接96喷胶机2005月详情链接97全自动引线键合机2005月详情链接98空压制氮机2705月详情链接99固态调制器3605月详情链接100微波功率源3405月详情链接101计量光束线反射镜1905月详情链接102冷冻光电联用系统15006月详情链接103超导量子干涉磁学测量系统4506月详情链接104真空测量规管及真空计1956月详情链接105溅射离子泵3706月详情链接106大视场巡天望远镜在站数据分析存储系统3766月详情链接107分子束外延生长与样品转移腔体1506月详情链接108高速模拟信号放大器2406月详情链接109高亮度短脉宽四倍频光学探针束系统1806月详情链接110磁控溅射系统5006月详情链接111低温光学恒温器系统1356月详情链接112激光直写3006月详情链接113大气湍流模拟器1956月详情链接114安徽省网络课程学习中心e会学平台运行服务1606月详情链接115Elsevier Journal SD数据库3406月详情链接116Wiley Journal数据库1806月详情链接117Scifinder数据库1056月详情链接118超精密数控车削中心2106月详情链接119真空充气钎焊炉1206月详情链接120预研楼实验室、会议室改造4006月详情链接121Taylor & Francis journal1006月详情链接122IEL数据库1156月详情链接123SCI数据库1256月详情链接124ACS journal数据库1356月详情链接125Nature数据库1866月详情链接126高新园区餐厅餐桌椅采购2006月详情链接127人工晶体(ICL)项目35006月详情链接128飞秒激光负压环项目45006月详情链接129其他手术耗材项目3506月详情链接130三维眼前节分析系统1206月详情链接131液体核磁共振谱仪机柜120.66月详情链接132红外傅里叶变换光谱仪系统1606月详情链接133全金属插板阀2006月详情链接134直线加速器真空管路部件1306月详情链接135液氮冷却机组1306月详情链接136晶体单色器非标加工1306月详情链接137无氧铜板材及管材3906月详情链接138激光器系统5006月详情链接139万方期刊数据库(安徽省高校数字图书馆共享资源)135.77月详情链接140变电所集控系统及智能辅控系统6287月详情链接141眼前节OCT(光学相干断层扫描)1507月详情链接142流式细胞分析检测系统2508月详情链接143双光子活体显微成像平台4508月详情链接144超高分辨激光共聚焦显微成像系统4008月详情链接145条纹相机3308月详情链接146同步辐射压弯镜2008月详情链接147无液氦综合物性测量系统7208月详情链接148像素传感器芯片流片1908月详情链接149高新校区保安服务外包费用3928月详情链接150同步辐射水内冷光学元件1308月详情链接151教育科研网宽带3069月详情链接152光参量震荡激光器2509月详情链接153近红外单光子探测器1509月详情链接154激光干涉仪2509月详情链接155示波器1309月详情链接156频谱分析仪1509月详情链接157信号发生器1509月详情链接158高精度干涉光谱仪1409月详情链接159气体同位素质谱仪2009月详情链接160实验动物饲料15010月详情链接161准直仪器检定测试系统50010月详情链接162空间六维准直测量系统80010月详情链接163光学元件拼接干涉测量系统30010月详情链接164多路激光预准直系统70010月详情链接165研究生管理信息系统15010月详情链接166四极磁铁72010月详情链接167东、中、西、南校区中央空调维保服务38210月详情链接168原子力显微镜30010月详情链接169二极磁铁27010月详情链接170独立轨道校正磁铁21010月详情链接171超导谐波腔腔体40010月详情链接172超导谐波腔恒温器模组100010月详情链接173螺线管线圈12010月详情链接174全飞秒激光手术设备 准分子激光手术设备120011月详情链接175染料激光器16012月详情链接176Nd:YAG激光系统20012月详情链接177纸本图书32012月详情链接178药品170012月详情链接
  • 2023先进电子材料创新大会
    一、大会概况先进电子材料,作为信息技术产业的基石,是支撑半导体、光电显示、太阳能光伏、电子器件等产业发展的重要基础。近年来,随着5G、人工智能等新技术的发展,电子材料产业需求不断扩大,未来市场空间广阔。但先进电子材料如何发挥最大潜力?如何链接基础研究和产业应用?2023先进电子材料创新大会聚焦于“新材料与产业发展新机遇”,瞄准全球技术和产业制高点,紧扣电子信息产业关键基础环节的短板,不断延展,着力突破高端先进电子材料产业化发展难题,拓宽新兴市场应用。本次大会诚挚邀请国内外知名专家、学者、头部企业,多元视角共同探讨先进电子材料产业发展新机遇,从应用需求逆向开发,产学研联动,驱动先进电子产业协同创新发展,打造国际高端电子材料产学研交流对接平台。二、组织机构主办单位:中国生产力促进中心协会新材料专业委员会联合主办:DT新材料芯材协办单位:深圳先进电子材料国际创新研究院甬江实验室中国电子材料行业协会半导体材料分会深圳市集成电路产业协会浙江省集成电路产业技术联盟陕西省半导体行业协会浙江省半导体行业协会东莞市集成电路行业协会支持单位:宝安区5G产业技术与应用创新联盟粤港澳大湾区先进电子材料技术创新联盟承办单位:深圳市德泰中研信息科技有限公司支持媒体:DT新材料、芯材、DT半导体、热管理材料、化合物半导体、电子发烧友、芯师爷、PolymerTech、电子通、芯榜、材视科技、Carbontech、安全与电磁兼容、电子材料圈、仪器信息网三、大会信息论坛时间:2023年9月24-26日论坛地点:中国深圳 深圳国际会展中心希尔顿酒店(深圳市宝安区展丰路80号)论坛主题:新材料,新机遇四、特色活动与亮点通过产学研论坛、项目对接、需求发布,人才交流、创新产品展示、采购对接会等多种形式,激发创新潜力,集聚创业资源,发掘和培育一批优秀项目和优秀团队,催生新产品、新技术、新模式和新业态,促进更多企业项目融入产业链、价值链和创新链,助力加快建设具有全球影响力的科技和产业创新合作平台。1、创新展览(1)成果集市(新材料、解决方案的专利&成果展示区);(2)学术海报展区(墙报尺寸80cm宽×120cm高,分辨率大于300dpi);(3)创新应用解决方案展区;(4)实验仪器设备展区。2、Networking(1)闭门研讨会:From Idea To Market!剖析行业,深度思考,提出观点,接受灵魂拷问;(2)一对一服务,精准对接,高端赋能。3、特色产学研活动,形式丰富(1)成果推介会(创新技术、创新产品);(2)项目路演、项目对接、投融对接会;(3)人才推介会、需求发布&对接会;(4)地区政府、园区产业规划、政策解读;(5)招商/签约仪式;(6)校企合作。4、前瞻论坛:院士报告+青年科学家报告论坛开启“15分钟了解一个科研方向”模式,突破思维限制,重点讨论科学研究中存在的技术难题与科学问题,帮助广大青年科研者整理研究逻辑,思考为什么做研究?如何推进研究进展?如何解决目前遗留挑战以及未来的技术瓶颈?5、校企合作AEMIC 2023以打造国际高端电子材料产学研交流对接平台为目的,特设校企合作论坛等专题活动。本届校企合作论坛以“科研赋能产业、产学研联动”为主题,聚焦校企合作实际需求,通过打造联合实验室、开发课题等合作模式,拟邀国内外先进电子行业知名院校的相关学科带头人、院长、行业专家、产业链上中下游不同端口的企业高层、知名投资机构等多元角色,齐聚一堂,针对“如何助力科技成果转化,打通‘最后一公里’?”、“如何为产学研交流拆除阻碍发展的‘篱笆墙’?”等相关议题作深入探讨,强强对话,将来一场极具前瞻性、针对性和多维性的思想盛宴。旨在为先进电子行业,深化产教融合,促进教育链、人才链与产业链、创新链的衔接,打通人才培养、应用开发、成果转移与产业化全链条。五、日程安排(具体时间以会场现场为准)时间活动安排2023年9月24日 星期日12:00-22:00会议签到2023年9月25日 星期一09:00-09:30开幕式活动(主办方致辞、重要嘉宾、领导致辞地区产业规划、招商/签约仪式)09:30-12:00先进电子材料产业创新发展大会(主论坛)前瞻论坛12:00-14:00自助午餐14:00-18:00平行分论坛分论坛一:先进封装论坛分论坛二:新型基板材料与器件论坛分论坛三:电磁兼容及材料论坛分论坛四:导热界面材料论坛分论坛五:电子元器件关键材料与技术论坛前瞻论坛19:00-21:00欢迎晚宴2023年9月26日 星期二9:00-16:30平行分论坛分论坛一:先进封装论坛分论坛二:新型基板材料与器件论坛分论坛三:电磁兼容及材料论坛分论坛四:导热界面材料论坛分论坛五:电子元器件关键材料与技术论坛前瞻论坛16:30-17:00闭幕式&总结12:00-14:00自助午餐六、已确认嘉宾先进电子材料产业创新发展大会(主论坛)科技赋能:先进电子材料与器件最新进展状态确认嘉宾与报告方向已确认报告题目:TBDChul B. Park,加拿大多伦多大学教授、中国工程院外籍院士、加拿大皇家科学院和工程院双院士、韩国科学技术翰林院、韩国工程翰林院院士 已确认报告题目:TBD李树深,中国科学院副院长、中国科学院大学校长、党委书记、研究员、中国科学院院士、发展中国家科学院院士、已确认报告题目:TBD南策文院士,清华大学材料科学与工程研究院院长、教授、中国科学院院士、发展中国家科学院院士已确认报告题目:TBDHenry H. Radamson,中国科学院微电子研究所研究员、欧洲科学院院士、广东省大湾区集成电路与系统应用研究院首席科学家已确认报告题目:TBD孙 蓉,中国科学院深圳先进技术研究院材料所所长、研究员先进封装论坛主题一:先进封装关键材料与设备状态确认嘉宾与报告方向已确认报告题目:Fundamentals and reliability of Cu/SiO2 hybrid bonding in 3D IC packaging陈 智,台湾国立阳明交通大学教授已确认报告题目:TBD李明雨,哈尔滨工业大学(深圳)材料科学与工程学院院长已确认报告题目:TBD甬强科技有限公司已确认报告题目:微波等离子技术在先进封装的应用朱铧丞,四川大学副教授已确认报告题目:ALD在先进封装领域的应用庄黎伟,华东理工大学副教授已确认报告题目:电镀铜添加剂体系的研究现状及未来发展路旭斌,兰州交通大学副教授已确认报告题目:TBD广东聚砺新材料有限责任公司主题二:先进封装与集成电路工艺、设计、与失效分析已确认报告题目:三维chiplet等先进芯片封装材料与工艺郭跃进,南方科技大学教授已确认报告题目:TBD刘 胜,武汉大学教授已确认报告题目:集成电路晶圆级三维集成朱文辉,中南大学教授已确认报告题目:TBD黄双武,深圳大学教授已确认报告题目:TBD代文亮,芯和半导体科技(上海)有限公司联合创始人、高级副总裁已确认报告题目:TBD宁波德图科技有限公司主题三:先进封装行业应用解决方案TBD电磁兼容及材料论坛状态确认嘉宾与报告方向已确认报告题目:电磁防护材料王东红,中电33所副总工程师已确认报告题目:TBD张好斌,北京化工大学教授已确认报告题目:聚合物基电磁屏蔽复合材料王 明,西南大学教授已确认报告题目:PCBA板级电磁屏蔽材料研究进展与应用探讨胡友根,中科院深圳先进技术研究院研究员已确认报告题目:系统级封装SiP的电磁屏蔽效能测试与分析魏兴昌,浙江大学教授已确认报告题目:轻质碳基吸波复合材料及应用王春雨,哈尔滨工业大学(威海)材料学院副教授已确认报告题目:碳纳米管添加可控,突破材料性能徐建诚,广东帕科莱健康科技有限公司总经理已确认报告题目:EMI材料的选择和应用唐海军,苏州康丽达精密电子有限公司总经理已确认报告题目:TBD施伟伟,深圳市飞荣达科技股份有限公司实验室主任已确认报告题目:TBD张 涛,深圳天岳达科技有限公司总经理已确认报告题目:电磁屏蔽材料遇上的新机遇、新挑战(拟)美国派克固美丽(Parker Chomerics)公司已确认报告题目:TBD满其奎,中国科学院宁波材料技术与工程研究所研究员、宁波磁性材料应用技术创新中心有限公司总经理已确认报告题目:车用电磁功能材料王 益,敏实集团材料部门经理确认中报告题目:TBD车仁超,复旦大学教授、杰青确认中报告题目:TBD张延微,有研(广东)新材料技术研究院市场总监确认中报告题目:TBD李 伟,美国3M公司电磁专家确认中报告题目:TBD由 龙,深圳科诺桥科技股份有限公司研发总监新型基板材料与器件论坛状态确认嘉宾与报告方向已确认报告题目:TBD刘孝波,电子科技大学教授、俄罗斯自然科学院院士已确认报告题目:TBD闵永刚,广东工业大学教授、俄罗斯工程院外籍院士已确认报告题目:TBD于淑会,中科院深圳先进技术研究院研究员已确认报告题目:TBD宋锡滨,中生协新材料专委会主任委员已确认报告题目:低温共烧陶瓷(LTCC)材料与集成传感器研究马名生,中科院上海硅酸盐研究所研究员已确认报告题目:TBD张 蕾,中科院深圳先进技术研究院副研究员已确认报告题目:高性能陶瓷基板技术研发与产业化陈明祥,华中科技大学机械学院教授、武汉利之达科技创始人已确认报告题目:高频/高速覆铜板材料的现状和未来杨维生,中电材行业协会覆铜板行业技术委员会委员、中国电子电路行业协会科学技术委员会委员已确认报告题目:先进封装下的有机封装基板机会与挑战谷 新,中山芯承半导体有限公司总经理已确认报告题目:高频高速覆铜板用树脂的开发应用新进展(拟)黄 杰,四川东材科技集团股份有限公司,山东艾蒙特新材料有限公司总经理已确认报告题目:TBD鲁慧峰,厦门钜瓷科技有限公司已确认报告题目:低温共烧大尺寸叠层压电陶瓷致动器研发及产业化(拟)贵州大学已确认报告题目:TBD温 强,中兴通讯PCB专家确认中报告题目:TBD沈 洋,清华大学材料学院副院长、教授确认中报告题目:TBD何 为,电子科技大学教授确认中报告题目:TBD曹秀华,广东风华高新科技股份有限公司研究院院长确认中报告题目:TBD任英杰,浙江华正新材料股份有限公司通信材料研究院院长电子元器件关键材料与技术论坛状态确认嘉宾与报告方向已确认报告题目:高质量二维半导体材料的可控制备刘碧录,清华大学深圳国际研究生院材料研究院长聘教授、副院长已确认报告题目:高性能二次电池关键材料设计与界面科学王任衡,深圳大学研究员已确认报告题目:半导体功率器件与集成技术郭宇锋,南京邮电大学党委常委、副校长已确认报告题目:信息功能陶瓷和无源元器件李 勃,国家重点研发计划项目、新型陶瓷与精细工艺国家重点实验室首席科学家、研究员已确认报告题目:低维无机材料的精准合成与物性调控程 春,南方科技大学研究员已确认报告题目:电子级纳米材料王 宁,中国科学院深圳先进技术研究院副研究员已确认报告题目:半导体纳米材料及器件结构-性能关系的定量透射电子显微学研究李露颖,华中科技大学武汉光电国家研究中心教授已确认报告题目:埋入式电容材料开发柴颂刚,广东生益科技股份有限公司-国家电子电路基材工程技术研究中心所长已确认报告题目:TBD宁存政,清华大学、深圳技术大学集成电路与光电芯片学院院长、教授已确认报告题目:功能高分子复合材料的加工成型新方法及其在电子材料方面的应用邓 华,四川大学教授已确认报告题目:半导体碳纳米管的高纯度分离及其在集成电路中的应用邱 松,中国科学院院苏州纳米所研究员导热界面材料论坛状态确认嘉宾与报告方向已确认报告题目:TBD曾小亮,中国科学院深圳先进技术研究院研究员已确认报告题目:热界面材料在通讯基站上的应用及展望2023周爱兰,中兴通讯股份有限公司热设计专家已确认报告题目:六方氮化硼纳米片的新颖制备及作为导热填料应用毋 伟,北京化工大学教授已确认报告题目:TBD赵敬棋,中国科学院深圳先进技术研究院热管理专家(主持人)已确认报告题目:TBD钱家盛,安徽大学副校长、全国政协委员、教授已确认报告题目:面向高频通讯用高效热管理薄膜材料研发张 献,中国科学院固体物理研究所研究员已确认报告题目:碳纤维导热垫片曹 勇,深圳市鸿富诚新材料股份有限公司研发经理已确认报告题目:TBD冯亦钰,天津大学教授已确认报告题目:TBD徐 帆,美国霍尼韦尔公司亚太区市场总监已确认报告题目:TBD张莹洁,工业和信息化部电子第五研究所(中国赛宝实验室)经理已确认报告题目:德聚高导热界面材料解决方案钱原贵,广东德聚技术股份有限公司副总经理已确认报告题目:TBD万炜涛,深圳德邦界面材料有限公司总经理已确认报告题目:TBD汉高中国已确认报告题目:TBD美国3M公司前瞻论坛状态确认嘉宾与报告方向已确认报告题目:铁电材料的本征弹性化胡本林,宁波材料所研究员已确认报告题目:TBD张虎林,太原理工大学教授认已确认报告题目:TBD孟凡彬,西南交通大学教授已确认报告题目:柔性微纳器件与智能感知系统化麒麟,北京理工大学特别研究员已确认报告题目:半导体材料中的挠曲电电子学效应翟俊宜,中科院北京纳米能源与系统研究所所长助理,研究员已确认报告题目:压电能带工程和GaN HEMT胡卫国,中科院北京纳米能源与系统研究所研究员已确认报告题目:Active microwave absorber with reconfigurable bandwidth and absorption intensity罗衡,中南大学副教授七、同期论坛详细介绍(一)前瞻论坛(院士报告+青年科学家报告)前瞻论坛将邀请全球科研专家和青年学者,围绕先进电子材料基础研究、工艺创新、器件性能优化等领域,分享近阶段前沿的科技创新成果,并展开交流。旨在深入探讨先进电子领域所面临的新机遇、新挑战和未来发展方向,发掘和支持具有科学创新精神和未来影响力的青年先行者。论坛将“15分钟报告了解一个科研方向”模式,突破思维限制,重点讨论科学研究中存在的技术难题与科学问题,帮助广大青年科研者整理研究逻辑,思考为什么做研究?如何推进研究进展?如何解决目前科研难题的挑战以及未来的技术瓶颈?话题范围(包含但不局限以下方向):先进电子封装材料与工艺、热管理材料、电子级纳米材料、电磁屏蔽材料、电介质材料、第三代半导体材料与器件、新型显示、功率激光材料与器件,以及高端光电子与微电子材料……(二)开幕式暨先进电子材料产业创新发展大会论坛将瞄准全球技术和产业制高点,重点聚焦先进电子封装材料与技术路线、导热界面材料、电子元器件关键材料与技术、电磁兼容材料、电介质材料、柔性电子与传感、热电/光电材料、宽禁带半导体材料与器件等领域的核心关键技术,DT新材料联合深圳先进电子材料国际创新研究院、甬江实验室等知名科研院所,诚挚邀请国内外知名专家、学者、头部企业共同深入探讨先进电子材料产业发展新机遇,着力突破高端电子材料产业化发展难题,从应用需求逆向开发,寻找解决方案,驱动产业应用发展,推动先进电子材料的自主创新。主论坛(先进电子材料产业创新发展大会)将从产业发展进程、政策研判、行业洞察以及机遇与挑战等角度解读,设置院士报告、领袖对话、产学研连线等环节。同期举办产学研论坛、校企合作论坛、人才交流、创新产品展示、项目对接、需求发布,采购对接会等活动,内容丰富,激发创新潜力,同时,集聚创业资源,发掘和培育一批优秀项目和优秀团队,催生新产品、新技术、新模式和新业态,促进更多企业项目融入产业链、价值链和创新链,助力加快建设具有全球影响力的科技和产业创新合作平台。参考话题:(一)大咖报告1、全球先进电子材料产业政策分析与专利布局2、全球先进电子材料研发与工艺技术创新进展3、全球先进电子产业发展进程与未来趋势4、全球先进电子材料领域“卡脖子”技术的研判与对策分析5、“十四五”期间,先进电子材料产业重点发展方向6、双碳背景下先进电子产业发展机遇与挑战……(二)产学研连线:领袖对话1、未来五-十年,先进电子材料产业重点发展方向在哪?2、如何突破先进电子材料领域“卡脖子”技术?科研界和产业界的对策是什么?3、如何助力科技成果转化,打通‘最后一公里’?4、双碳背景下先进电子产业发展机遇与挑战(三)平行分论坛平行分论坛一:先进封装论坛集成电路是国之重器,是信息时代的命脉产业,严重影响国家战略和产业安全,封装是集成电路产业链中重要一环。随着半导体制程接近工艺物理极限,芯片制造面临物理极限与经济效益边际提升双重挑战。如何延续摩尔定律,芯片的布局成为新解方。另外,随着5G、自动驾驶、人工智能、物联网等应用正快速兴起,对芯片的性能要求更高,先进封装如何重塑半导体产业格局?半导体行业下一个十年方向在哪里?AEMIC先进封装论坛针对全球先进封装产业频现“软肋”的核心技术与产业问题,论坛从先进封装工艺、异构集成的前沿技术、关键材料与设备、可靠性与产品失效分析、最新市场应用、以及产业发展的新机遇与挑战等问题进行攻关,着力突破先进封装产业发展难题,实现原材料-材料-工艺-器件的原始创新性与产业平衡发展。参考话题:• 芯片封装趋势与新型市场应用1、芯片封装产业趋势与技术创新2、应用需求驱动下先进封装技术的机遇与挑战3、“后摩尔时代”下先进封装与系统集成4、先进封装的设计挑战与EDA解决方案5、先进封装在汽车电子和MEMS封装中的应用案例与发展趋势6、5G环境下的微系统集成封装解决方案7、先进封装对前沿计算的重要性8、射频微系统集成技术9、先进封装在功率电子与新能源及新型电力系统中的应用10、光电器件封装11、新兴领域封装与面向人工智能的电子技术应用……• 先进封装技术路线和产业生态发展趋势1、异质/异构集成、3D Chiplet技术、三维芯片互连与异质集成应用技术2、晶圆级封装(WLP)、板级封装、系统级封装技术(SiP)3、倒装芯片、硅通孔/玻璃通孔技术4、2.5D/3D堆叠、芯片三维封装、集成封装技术5、扇出型封装技术6、混合键合技术、先进互连技术……• 先进封装关键材料、工艺与设备1、关键设备:贴片、引线、划片、衬底切割、研磨、抛光、清洗等关键技术与设备2、先进制程:减薄、划片、引线键合、圆片塑封、涂胶显影等3、关键材料:先进光刻胶、聚酰亚胺、底部填充胶光刻、高端塑封料、电镀液、键合胶等4、导热界面材料、芯片贴片、封装基板材料的选择5、芯片互连低温烧结焊料、高端引线框架的选择6、半导体划片制程及精密点胶工艺7、封装和组装工艺自动化技术与设备8、测量与表征技术• 可靠性、热管理、检测、验证问题1、封装结构验证2、封装芯片厚度、几何结构的研究3、可靠性与热效应分析4、先进封装及热管理技术可靠性5、材料计算、封装设计、建模与仿真6、服役可靠性和失效分析……平行分论坛二:新型基板材料与器件论坛近年来信息和微电子工业飞速发展,半导体器件不断向微型化、集成化、高频化、平面化发展,对各种高性能高导热陶瓷基板、高频高速基板、电子功率器件的需求越来越大,各类以陶瓷和聚合物为代表的具有优异介电性能的材料、器件、基板不断问世,低温共烧(LTCC)陶瓷、片式电容、电阻、埋容、高端基板成型工艺设备等获得了广泛关注。基板材料如何在提升介电性能的同时解决导热问题?如何实现高度集成电路板的高性能与低成本问题?新能源汽车、高频通信、消费电子对产业带来了哪些新需求和挑战?新工艺迭代如何提升效率降低生产成本?论坛从先进基板材料、关键材料与器件、最新市场应用、产业发展技术路线和产业生态、可靠性与失效分析出发,围绕着产业发展的新机遇与挑战等问题展开,实现原材料-材料-工艺-器件-终端应用的全产业链创新与平衡发展。参考话题:• 材料、器件的趋势与进展1、基板材料与器件产业的发展现状及未来趋势2、高/低介电材料在基板领域的最新研究进展和应用3、电介质基板材料微观、介观、宏观等基础性能研究及最新进展4、介电损耗机理研究与优化5、集成电路材料的发展趋势与应用6、薄膜/厚膜材料器件的研发与创新应用7、高频与超高频通信的关键材料与器件8、无源器件,包括基板内部片式电容(MLCC)、电感、电阻,薄膜埋容埋阻埋感• 聚合物基板材料及器件1、高频高速覆铜板用新型特种树脂的结构设计与性能调控2、导热助剂的开发与商业化应用3、5G、6G高频及超高频段覆铜板基材的研发与应用4、复合材料在高频高速基板的创新应用5、FPC技术最新研究和创新应用6、高性能聚合物在IGBT行业中的应用……• 陶瓷基板材料及器件1、电子陶瓷产业现状与未来发展方向2、低温共烧(LTCC)与高温共烧(HTCC)陶瓷的高性能瓷粉研发、工程化与应用3、陶瓷基板与电容、电感、电容共烧4、先进陶瓷粉体(氧化铝、氧化锆、氮化硅、氮化铝等)的合成制备新技术、新工艺5、新型助剂(如表面、流变、分散、消泡、偶联等)在先进陶瓷的研究与应用价值6、陶瓷基板在大功率IGBT模块封装中的应用与金属化技术7、压电元器件、声表面波器件、超声与频率元器件、高容量多层陶瓷电容器、片式微波电容器、微波介质器件等• 新型市场应用机遇1、未来6G市场的关键材料与器件2、柔性介电电容器的微观结构、设计与商业化3、高性能基板材料的市场投资机会4、先进装备助力高性能低成本基板成型5、高性能低成本基板及材料案例分享平行分论坛三:电磁兼容及材料论坛电子元器件不向高功率化、小型化、集成化发展,在提升性能的同时也带来了大量电磁兼容的问题,电磁功能材料始终担任着抗电磁辐射和抗干扰的重任,以保障电子设备正常运行。但日益复杂的电磁环境下也对电磁兼容和材料提出了更高的要求。“电磁兼容及材料论坛”作为本届大会的主题论坛之一,旨在介绍该领域科学前沿的最新成果和技术工程应用的重要进展,探讨电磁防护技术发展趋势,促进交流合作。参考话题: 电磁屏蔽/吸波材料最新进展与应用1、电磁屏蔽/吸波材料的产业生态、研究与发展趋势;2、先进电子封装中的电磁屏蔽材料及封装方法、技术、结构设计考量;4、高分子基电磁屏蔽复合材料的最新进展及创新应用;5、吸波/屏蔽薄膜的设计与应用;6、碳材料(石墨烯、碳纳米管、MXene、碳纤维、石墨、碳化硅等)在屏蔽/吸波/导热材料的最新研究进展和应用;7、铁系吸波材料(铁氧体,磁性铁纳米材料等)的最新研究进展和应用;8、轻质多功能高性能吸波/屏蔽材料;9、电磁防护材料最新进展与商业化应用;10、吸波、电磁屏蔽、导热材料的合成与产业化应用技术。 电磁兼容及标准测试1、5G、6G带来的电磁兼容及材料问题思考;2、电子封装中电磁兼容设计解析及电磁密封性研究;3、高速电路中的电磁干扰分析;4、屏蔽/吸波材料的参数检测技术与方法。 新型市场应用机遇1、未来6G带来的电磁屏蔽/吸波材料市场需求预测;2、新能源汽车给电磁材料带来的产业机遇;3、电磁干扰/电磁污染给电磁兼容及材料产业带来的新机遇与新挑战;5、电磁超材料的进展与未来市场展望;6、产业化示范与创新应用;7、创新型产品推介。平行分论坛四:导热界面材料论坛电子器件的小型化、集成化和多功能化导致发热问题日益突出,为了保证运行性能和可靠性,高效散热已经成为电子器件亟待解决的关键问题。热界面材料是填充于芯片/器件与散热器之间以驱逐其中空气,使芯片产生的热量可以更快速地通过热界面材料传递到散热器,达到降低工作温度、延长使用寿命的重要作用。“热界面材料论坛”作为AEMIC 2023最重要的主题分论坛之一,旨在介绍热界面材料领域近些年科学研究的最新成果和工程技术应用的重要进展,探讨发展趋势,促进交流合作。参考话题:1、聚合物/导热填料材料的可控合成2、热界面材料可控制备3、界面热阻精确测量4、高功率密度电子器件集成热管理5、产业化示范与应用……平行分论坛五:电子元器件关键材料与技术论坛后摩尔时代,低维半导体材料及相关器件的研究将极大推动半导体行业的发展,为实现更高效、更可靠的电子元器件与产品提供更多可能。因此如何规划布局、如何推进政产研融合、材料和器件工艺如何突破、相关标准如何制定等,都将成为未来的重要研究内容。本次电子元器件关键材料与技术论坛将围绕低维材料在电子元器件中的应用、低维材料与硅基工艺的融合与创新、低维材料与器件的标准化进程等议题进行政、产、研多视角研讨,共同推动我国电子元器件关键材料与技术的发展、规划及相关标准的制定。参考话题:1、低维半导体材料制备与微纳加工2、低维半导体器件与工艺3、低维半导体材料与器件的测试与表征4、低维半导体材料应用与标准化……八、会议注册1、会议费(单位:元/人)参会类型学生参会科研代表企业代表通票注册费用(含全体大会,所有论坛均可参与)240026003800分论坛票(含全体大会+任选一个论坛)180022002600先进电子材料创新大会组委会参会,参展,或者需要其他分论坛资料请联系!联系人:童经理 电话: 19045661526(微信同号)
  • 得利特实验室检测仪器---台式酸浓度计,台式碱浓度计
    目前,便携化、智能化、快捷化、多功能化的仪器才是市场发展的主流,虽然在某些场合对大型仪器的使用非常有必要,但在绝大多数的检测活动中,轻巧便携、操作简单、功能多样化的产品显然更受欢迎,所以我国的水质分析仪器制造水平要追平国际,就需要在这些方面下苦功夫,避免出现产品结构单一、功能单一、缺乏创新等状况。仪器生产商要积极进行市场调研,根据市场需求积极创新,发展出更满足客户需要的产品。当下我国的环保形势良好,国家对环境监测仪器的需求大,在政策上也多有扶持,所以行业内要及时抓住机遇,依托政策,积极引进先进技术,聚集人才,研发属于我们自己的国之重器,让国产仪器真正走出国门。当然,我国的仪器行业还存在一个状况,就是两极分化严重,一大批企业徘徊在中低端产品线上,而能与世界水平比肩的却寥寥无几,如果不能解决这个问题,长此以往,对我国的仪器行业发展并没有任何好处,水质分析仪器也如是,可见国产仪器商们要走的路还很长。B1120台式酸浓度计在电力工业中广泛应用的电磁式酸碱浓度计的新产品。在电力行业中主要用于离子交换法制取高纯水工艺中监测离子交换器中再生液的浓度,是离子交换法制取高纯水的必备仪表,可应用于电力、化工、冶金、食品、制药等行业中对各种HCl、H2SO4、NaOH、NaCl等强电解质的检测。仪器特点1、适合检查校验离子交换法制取高纯水工艺中的再生液浓度或锅炉管道酸洗液浓度配制2、它采用电磁感应原理,避免了酸、碱等强腐蚀溶液对电极的腐蚀、污染和极化效应。可以大大提高离子交换器的再生效果和避免发生阳床结钙、阴床结硅胶的事故,保障离子交换器的安全经济运行。技术参数显  示: 4位0.8英寸LED显示测量介质:HCl、NaOH、NaCl、H2SO4(每台仪表只能测量一种介质,订货时指明测量介质)量  程: HCl 0~10% H2SO4 0~5%精 度:  2.0级 (常用点校准后误差可小于0.05%)    分 辩 率:  0.01%温度补偿范围:(5~55)℃仪表供电: AC 220V 50Hz 5W仪表外形尺寸: 270×200×90mm探头尺寸: 39×100mm,引线长度1m仪表重量: 1.25kgB1130台式碱浓度计在电力工业中广泛应用的电磁式酸碱浓度计的zui新产品。在电力行业中主要用于离子交换法制取高纯水工艺中监测离子交换器中再生液的浓度,是离子交换法制取高纯水的必备仪表,可应用于电力、化工、冶金、食品、制药等行业中对各种HCl、H2SO4、NaOH、NaCl等强电解质的检测。仪器特点1、适合检查校验离子交换法制取高纯水工艺中的再生液浓度或锅炉管道酸洗液浓度配制2、它采用电磁感应原理,避免了酸、碱等强腐蚀溶液对电极的腐蚀、污染和极化效应。可以大大提高离子交换器的再生效果和避免发生阳床结钙、阴床结硅胶的事故,保障离子交换器的安全经济运行。技术参数显  示:4位0.8英寸LED显示测量介质:NaOH、NaCl(每台仪表只能测量一种介质,订货时指明测量介质)量  程:NaOH 0~5% NaCl 0~5%(重量百分比)精 度: 2.0级 (常用点校准后误差可小 于0.05%)    分 辩 率: 0.01%温度补偿范围: (5~55)℃仪表供电:AC 220V 50Hz 5W仪表外形尺寸:270×200×90mm探头尺寸:39×100mm,引线长度1m仪表重量:1.25kg
  • 太赫兹脉冲时域反射计系统在半导体行业的开发与应用
    1、前言随着半导体封装变得更小、集成度更高,使用非破坏性、高分辨率技术定位故障的能力变得越来越重要。对失效分析手段提出了挑战,故障高分辨率定位能力的需求逐渐增大。为满足这些要求,Advantest开发了TS9001TDR方案,该系统分析通过利用专有的短脉冲信号处理技术进行高分辨率时域反射测量(Time Domain Reflectometry, TDR),对先进半导体封装、电子元件和印刷电路板中的导线故障区域进行快速、高精度和无损分析。 2、主要应用以3D集成电路为代表的高密度集成电路中存在着无限小的布线结构,布线故障在封装、印刷电路板封装过程中频繁出现。检测故障点需要几十微米分辨率。由于上升时间(约20ps)和抖动(约1ps)的限制,传统示波器TDR方法的故障距离分辨率仍保持数百微米的分辨率。使用TS9001TDR系统可以准确分析各种尖端半导体封装的布线质量,如倒装芯片BGA、晶圆级封装和2.5D/3D IC封装,能够直接连接客户的射频探测系统,针对其设备形状和故障分析环境,实现高速、高分辨率的测量,提供灵活的解决方案。(1) 高度集成的集成电路封装故障分析1) 封装引线故障分析:确定引线故障点位于Si Interposer内还是封装内,识别故障是由预处理还是后处理中的因素引起的2) C4 Bump故障分析:利用测试回路确定和分析安装Si Interposer的条件,对测试回路的菊花链结构进行故障点分析,并对安装条件进行反馈3) TSV、Micro-Bump故障分析:识别层压芯片的故障层4) 印刷电路板PCB故障分析:识别PCB板中通孔和信号线的故障点3、原理与优势(1)原理与技术太赫兹脉冲时域反射计的原理参见上图。其利用两个的飞秒激光器分别泵浦光电导电线,产生高频的太赫兹脉冲信号。飞秒激光器的中心波长1550nm,脉冲宽度50fs。其中,一个飞秒激光器的重复频率50MHz,另一个激光器的重复频率稍有区别。采用两个激光器的重复频率稍有差别的缘由在于,利用两个激光器的差频延迟,可以实现高频太赫兹信号的产生和探测。其工作是高频太赫兹信号通过探针接触芯片的管脚,高频太赫兹信号在芯片封装的引线中传播。当芯片封装没有开断路时,高频太赫兹沿着引线向前传播;当芯片封装的引线等出现开路时,将反射回正峰脉冲信号;当芯片封装引线出现短路时,将反射回负峰脉冲信号。(2)技术优势为了识别故障点,常用的封装无损检测方法包括光发射显微镜(emission microscope)和示波器时域反射计(Time domain Reflectometry, TDR)等,但是这些无损检测方法受到时域信号抖动的限制(信号抖动约1ps),导致分辨率不高,不能定位微米级的失效位置,无法以高分辨率检测开路、短路故障。故亟需高分辨率时域反射计,以提供快速且精准的失效定位。Advantest通过独有的光学采样和电短脉冲生成技术,借助飞秒激光技术,产生抖动小于30fs的超短采样脉冲。可以实现5μm的故障定位分辨率。通过使用自动探针的自动触地功能,进行精确的可重复测量,具有更高精度和效率的故障位置测量。TS9001TDR系统通过自动探针和与CAD设计联动,实例分析芯片封装的引线开路和短路故障定位,可以直观快速定位芯片封装的故障点,实现先进封装的失效分析。4、国内外发展现状Advantest的TS9001TDR系统中采用两个超短脉冲激光器异步采样,采取异步采样技术可以使系统不再需要机械式的光学延迟线,并且具有超高速的信号扫描速度。是目前全球独一的技术,目前国内外没有同类设备。5、发展趋势随着晶圆代工制程不断缩小,摩尔定律逼近极限,先进封装是后摩尔时代的必然选择,3D封装迅猛发展。作为一种全新的实现定位方法,在未来的几年里,太赫兹TDR技术将继续保持高速发展的势头。随着关键技术的不断发展,相关产品的种类将越来越丰富,行业应用和相关配套服务也将越来越广泛。搭载脉冲电磁波产生和高速采样的超短脉冲光纤激光器的太赫兹TDR设备,有助于半导体3D封装的故障分析。 6、总结与展望 在实际芯片测量过程中,太赫兹脉冲信号耦合至芯片内部衰减较为严重,对于太赫兹脉冲的信噪比提出了很高的要求。为了进一步提高测量精度和芯片内的传输路径,提高信噪比是亟需攻克的问题。另外芯片内部的引线存在阻抗不匹配又没有完全开路的情况,对于这类Soft Open的芯片检测,TDR波形分析需要结合信号模拟仿真,增强对信号的解读。对于材料的吸收系数、折射率、介电常数等光谱特性,可以用太赫兹时域光谱仪表征,这也是爱德万测试太赫兹技术的核心应用。目前爱德万测试已经有太赫兹时域光谱成像系统,通过发射和接收时域太赫兹信号至样品,可以实现生物医学样品、食品农产品、化学品、复合材料、通讯材料等的光谱特性表征。(爱德万测试(中国)管理有限公司 供稿)
  • 诺禾致源折戟IPO,基因检测行业泡沫隐现
    p style="text-indent: 2em "近日,在证监会最新发布的拟IPO企业申请名单上,北京诺禾致源科技股份有限公司(以下简称“诺禾致源”)的名字出现在了申请终止审查企业一栏中,这意味着自去年11月底证监会取消其发行申报文件的审核后,经过了近百日等待,最终折戟IPO。距离成功上市仅有一步之遥的诺禾致源与A股市场失之交臂。/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 500px height: 179px " src="https://img1.17img.cn/17img/images/202003/uepic/cdd159a4-18dc-4993-81cc-9c1fb06f14aa.jpg" title="1.png" alt="1.png" width="500" height="179" border="0" vspace="0"//pp style="text-indent: 0em text-align: center "span style="color: rgb(0, 176, 240) "2019年11月27日证监会曾取消其发行申报文件的审核,IPO按下“暂停键”/span/pp style="text-indent: 2em "随着筹备多年的IPO计划的失败,诺禾致源已在近期启动了大规模的裁员计划。在这几年,公司为了上市成功而努力做大规模,但随着上市失败,从今年春节放假之前就已经开始大规模裁员。/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 300px height: 90px " src="https://img1.17img.cn/17img/images/202003/uepic/8a38456a-8397-4a47-9cca-0394fb6805c9.jpg" title="2.png" alt="2.png" width="300" height="90" border="0" vspace="0"//pp style="text-indent: 2em "span style="text-indent: 2em "对于诺禾致源IPO止步,业界猜测这与其业务结构、疫情影响有着很大的关系,后曝出的裁员则直接受此次疫情影响。而诺禾致源的IPO止步,又让外界揣测疫情中热闹的基因检测行业泡沫浮现。/span/pp style="text-indent: 2em "一位长期关注基因检测行业的业内人士称诺禾致源是细分领域的独角兽,申请终止IPO审查的原因有很多,而在行业内基因公司的业务有一定的连贯性,受疫情影响有限。/pp style="text-indent: 2em "3月17日,贝瑞基因董事长高扬在接受采访时称,诺禾致源的IPO止步可能受多种因素影响,也不排除其未来再上市的可能。在此次疫情中,基因检测虽然热闹,但很多企业都是尽企业社会责任义务,服务检测的毛利水平还不及口罩,而且疫情对小微企业影响很大,从市场角度而言,出清了一些相对比较落后的产能,也为行业未来发展打下一定基础。/pp style="text-indent: 2em "strong以科研服务为主/strong/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 450px height: 324px " src="https://img1.17img.cn/17img/images/202003/uepic/355ff34d-1a47-4700-be39-ec675706dc89.jpg" title="3.png" alt="3.png" width="450" height="324" border="0" vspace="0"//ppbr//pp style="text-indent: 2em "根据诺禾致源2019年10月提交的招股说明书,公司主要依托高通量测序技术,结合其他基因检测方法,为科研机构、高校、医疗机构、药业等企事业单位提供基因检测和生物信息分析等研究服务。/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 500px height: 121px " src="https://img1.17img.cn/17img/images/202003/uepic/2da113bb-7786-4b16-ab2e-cd5ffc21d644.jpg" title="4.png" alt="4.png" width="500" height="121" border="0" vspace="0"//pp style="text-indent: 2em "span style="text-indent: 2em "2017年、2018年和2019年上半年,诺禾致源营业总收入分别为7.39亿元、10.54亿元和5.70亿元,净利润分别为8105.08万元、9787.28万元和3088.20万元。/span/ppbr//pp  从产业链的角度,基因测序产业上游为基因测序仪器、设备和试剂供应商,中游为基因检测服务机构,下游为医疗机构、科研机构、企业及个人用户等。从业务来看,诺禾致源属于中游的基因检测服务机构。/pp  基因测序应用方向主要可分为科研和临床。成立于2010年的诺禾致源以科研测序服务为主,主要面向科研机构、高校、医疗机构、药企等提供基因检测和生物信息分析等研究服务。/pp  上游的测序仪被视为基因检测行业的核心,据了解,美国Illumina在该领域占据着核心地位。在测序仪方面,诺禾致源多次因耗巨资采购Illumina大型测序仪而受到关注。/pp  国家药监局数据库信息显示,目前国内已有华大基因、博奥生物、华因康基因、泛生子、安诺优达、达安基因、贝瑞和康、吉因加等8家公司基因测序仪批准上市。/pp  对于基因测序仪领域现状,诺禾致源方面介绍道,“目前商业应用的主流基因测序技术是第二代测序技术,即高通量测序技术。高通量测序技术的核心思想是边合成边测序,即通过捕捉新合成的末端的标记来确定DNA的序列。/pp  “目前,行业上游所涉及的第二代测序技术的技术平台主要还依靠美国公司Illumina和Thermo Fisher提供,其中Illumina凭借其超高通量和相对较长读长的优势,占有超过70%的市场份额。”/pp  诺禾致源方面表示,“基因测序服务行业上游基因测序设备制造具有较高的技术壁垒,国内少有公司涉及。虽然目前有一些国产测序仪上市,但性能还需要一段时间的验证,目前Illumina及其测序仪仍占据着行业最重要的位置。”/pp  那么,诺禾致源是否有自主研发测序仪的相关规划? 对此,诺禾致源方面回复道,“目前公司主要测序仪器和试剂从美国的Illumina和Thermo Fisher进口。采购测序仪和自主研发测序仪都是根据企业自身情况作出的合理选择。”/pp  strong对Illumina依赖加大/strong/pp  诺禾致源表示,“基因测序行业的基本格局决定了公司主要原材料的供应商选择范围较小。”/pp  招股书显示,2016年、2017年、2018年和2019年上半年,诺禾致源从Illumina采购额占当期采购总额的比例为15.92%、23.17%、64.17%和67.00%。较大地依赖于Illumina,并且占比逐年增大。/pp  针对对Illumina依赖性较大的问题,诺禾致源方面回复表示,“基因测序行业的基本格局决定了公司主要原材料的供应商选择范围较小,主要是从美国的Illumina和Thermo Fisher进口。目前公司已与上述核心供应商形成了长期、良好的合作关系,随着基因测序仪器的通量越来越大,基因测序服务的规模效应也越来越显著。”/pp  2019年9月27日,证监会发布《北京诺禾致源科技股份有限公司创业板首次公开发行股票申请文件反馈意见》(以下简称“《反馈意见》”)。证监会要求诺禾致源保荐人中信证券股份有限公司回复,报告期内既向Illumina、Thermo Fisher直接采购测序仪和试剂,又通过经销商北京安泰华信贸易有限公司(以下简称“北京安泰华信”)向Illumina、Thermo Fisher采购的原因。/pp  招股书显示,2018年,诺禾致源向第一大和第二大供应商Illumina和Thermo Fisher采购测序仪器和试剂等,采购额为3.08亿元和2797.22万元,占比为64.17%和5.83%。同年,诺禾致源还向北京安泰华信采购Illumina、Thermo Fisher测序仪器、试剂等,采购额为3006.25万元,占比为6.26%。/pp  而在2016年和2017年,北京安泰华信均为诺禾致源第一大供应商。/pp  而诺禾致源10月22日报送的招股书,针对《反馈意见》中的该问题,该文件未做相关更新或回复。/pp  对此,诺禾致源方面表示,公司直接采购Illumina、Thermo Fisher仪器和试剂的同时,也通过贸易商采购的原因主要有三个:/pp  第一,发行人中国和境外子公司均采购上述厂商的仪器和试剂,不同主体的采购渠道不同。报告期初,发行人不具备自行报关进口仪器与试剂的能力,涉及到进口的采购,需通过贸易商进行。2016年起,公司开始建设美国和新加坡实验室,美国诺禾和新加坡诺禾开始直接向Illumina和Thermo Fisher的美国和新加坡主体采购仪器和试剂,国内的采购仍通过贸易商进行,故出现直接和间接采购并行现象。/pp  第二,上述供应商在国内也设有子公司或分支机构,但分支机构所提供的产品与母公司有所不同,故公司部分采购直接通过国内子公司或分支机构进行,而向母公司的采购需通过贸易商进行,在披露供应商采购金额时进行了同一控制下合并,因此显示为同时向生产厂商和贸易商采购。/pp  第三,2017年起,公司开始尝试自行进口报关,将国内采购也转换为直接向生产厂商采购,但因相关采购团队的招聘和组建需要一定过程,原与贸易商的采购订单亦尚未执行完毕,故出现直接和间接采购并行现象。2017年至2018年公司境内主体采购仪器和试剂的间接采购占比逐步减小,直接采购占比逐步增加。/pp  诺禾致源方面表示,“随着公司自行进口报关业务的成熟化,未来采购将主要通过直接从厂家自行进口,通过代理商采购的情形将继续减少。”/pp style="text-indent: 2em "strong行业竞争格局/strong/pp style="text-indent: 2em "根据招股说明书,全球基因测序行业的市场规模巨大,随着基因测序相关技术的持续革新和应用领域的深入发展,行业竞争格局也在不断演化。基因测序的产业链上游为测序仪器、设备和试剂供应商,在二代测序领域,仪器与试剂主要由Illumina、Thermo Fisher等国外厂商提供;中游为基因测序服务提供商;下游为使用者,包括医疗机构、科研机构、制药公司。诺禾致源主要面对来自基因测序服务提供商的竞争。/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 450px height: 310px " src="https://img1.17img.cn/17img/images/202003/uepic/063e217a-88f1-42b6-9b41-43be84bd23da.jpg" title="5.png" alt="5.png" width="450" height="310" border="0" vspace="0"//pp style="text-indent: 2em "基因测序服务提供商根据客户类别的不同,主要分为两大类,一是面向基础研究的基因测序服务提供商;二是面向终端用户的临床、医疗类的基因检测服务提供商,服务内容以无创产前基因检测为主,还包括药物靶向治疗检测、遗传缺陷基因检测、肿瘤基因检测、病原微生物检测、疾病风险评估等。第一类服务提供商包括国内的诺禾致源、百迈克以及韩国Macrogen等,第二类服务提供商包括贝瑞基因、博奥生物、泛生子、燃石医学等。华大基因、安诺优达等公司则两种服务均有所涉及。/p
  • 赛默飞推出7种全新Accucore HPLC色谱柱键合相
    打破仪器限制,实现色谱颗粒技术变革中国上海,2013年1月25日 &mdash &mdash 近日,科学服务领域的世界领导者赛默飞世尔科技(以下简称:赛默飞)推出7种全新的Accucore HPLC色谱柱键合相。凭借赛默飞的 &ldquo 表面多孔增强核技术&rdquo ,新款色谱柱得以打破仪器的限制,在不受仪器对色谱柱性能影响的情况下完成卓越的实验表现。这一重大突破可以使色谱柱适用于各种极性和分子量化合物的方法开发,为实验室客户提供更多互补的选择性,有效提升实验效率和准确性。&ldquo 表面多孔增强核技术&rdquo 的三大重要特点包括:填料包含实心核和表面多孔层,在常规反压下实现高速高效的分析。有2.6&mu m和4&mu m两种粒径可以选择,适用于所有HPLC 和UHPLC仪器。2.6&mu m颗粒色谱柱能够提供亚2&mu m填料的柱效,同时柱压在普通HPLC色谱仪可承受的范围内,具有更高的性价比。几乎兼容所有HPLC 和UHPLC仪器。4&mu m颗粒色谱柱可改善标准HPLC分析的效果和耐用性,无需更换仪器和任何分析条件,柱效和分离度高于5&mu m 和3&mu m 的全多孔颗粒色谱柱。 宽范围键合相,提供各种互补的选择性,适用各种极性和分子量的化合物。随着Polar Premium,Phenyl-X,C30,Urea-HILIC ,150-Amide-HILIC , 150-C18,150-C8 7种键和相的加入,Accucore 系列色谱柱提供14种不同选择性的键和相,适用各种极性的小分子和生物大分子化合物保留和分离。 稳定耐用,结果可靠。运用先进的自动装填工艺,严格的粒径控制技术和先进的键合技术,确保优异的批次重现性和长寿命。欲了解更多详情关于赛默飞Accucore 色谱柱,请浏览 www.thermo.com.cn/Category1553.html 。赛默飞全新Accucore HPLC 色谱柱键合相 关于赛默飞世尔科技赛默飞世尔科技(纽约证交所代码: TMO)是科学服务领域的世界领导者。我们的使命是帮助客户使世界更健康、更清洁、更安全。公司年销售额120亿美元,员工约39,000人。主要客户类型包括:医药和生物技术公司、医院和临床诊断实验室、大学、科研院所和政府机构,以及环境与过程控制行业。借助于Thermo Scientific、Fisher Scientific和Unity&trade Lab Services三个首要品牌,我们将创新技术、便捷采购方案和实验室运营管理的整体解决方案相结合,为客户、股东和员工创造价值。我们的产品和服务帮助客户解决在分析领域所遇到的复杂问题与挑战,促进医疗诊断发展、提高实验室生产力。欲了解更多信息,请浏览公司网站:www.thermofisher.com关于赛默飞中国赛默飞世尔科技进入中国发展已有30年,在中国的总部设于上海,并在北京、广州、香港、成都、沈阳、西安等地设立了分公司,目前已有2200名员工、5家生产工厂、5个应用开发中心、2个客户体验中心以及1个技术中心,成为中国分析科学领域最大的外资企业。赛默飞的产品主要包括分析仪器、实验室设备、试剂、耗材和软件等,提供实验室综合解决方案,为各行各业的客户服务。赛默飞在北京和上海共设立了5个应用开发中心,将世界级的前沿技术和产品带给国内客户,并提供应用开发与培训等多项服务;位于上海的中国技术中心结合国内市场的需求和国外先进技术,研发适合中国的技术和产品;遍布全国的维修服务网点和特别成立的维修服务中心,旨在提高售后服务的质量和效率。我们致力于帮助客户使世界更健康、更清洁、更安全。欲了解更多信息,请登录www.thermofisher.cn
  • 苏州大学:基于自由基促进的阳离子RAFT聚合实现快速活性3D打印!
    基于可逆失活自由基聚合(RDRP) 的3D 打印技术为制备具有“活性”的聚合物材料提供了有效手段。该类材料由于保留有活性位点,可进一步用于聚合后修饰及功能化,以制备多种多样的刺激响应性材料,目前正成为该领域的研究热点。然而,相较于商用体系,已有技术的打印速率通常较低,限制了其实际应用。同时,已报道工作主要基于RDRP方法,机理较为单一。近期,苏州大学朱健教授团队探索了基于阳离子可逆加成断裂链转移(RAFT)聚合的立体光刻蚀(SLA)3D打印(ACS Macro Lett. 2021, 10, 1315)以及阳离子/自由基RAFT聚合联用的数字光处理(DLP)3D打印(Macromolecules 2022, 55, 7181)。拓宽了活性3D打印的聚合机理及单体适用范围,为调控材料性能提供了丰富手段。相较于自由基RAFT聚合,阳离子RAFT聚合通常具有更快的聚合速率。在本文中,该研究团队考察了基于自由基促进的阳离子RAFT(RPC-RAFT)聚合的DLP 3D打印体系,实现了较为快速的打印速率(12.99 cm/h)。首先,作者设计了模型聚合来研究该方法的聚合行为,其机理如图一所示。商业可得的光引发剂(TPO)与二苯基碘鎓盐(DPI)被用于产生初始的阳离子引发种,随后聚合由一种二硫代氨基甲酸酯RAFT试剂(图3 B)通过阳离子RAFT过程调控。图1. 推测的聚合机理。如图2A所示,聚合呈现一级线性动力学,聚合物分子量与理论值吻合较好,分子量分布窄,符合活性聚合特征。图2. 在405 nm波长光源下IBVE的聚合动力学结果:A) 单体转化率半对数与聚合时间的关系曲线;B) 分子量(Mn)和分子量分布(Ɖ )与单体转化率的关系;C)IBVE聚合物的SEC曲线。随后研究团队详细研究了交联体系的聚合行为(图3),对双官能度单体二乙二醇二乙烯基醚(DDE),单官能度单体异丁基乙烯基醚(IBVE),RAFT试剂以及TPO/DPI引发体系不同配比进行了考察。结果显示没有IBVE时,聚合速率与单体最终转化率降低,这可能是由过高的交联密度导致。DDE与IBVE的比例在3:1到1:3之间变化时对聚合速率影响较小。进一步提高IBVE含量则会导致鎓盐析出。改变RAFT试剂的比例对聚合速率影响较小,这与传统的自由基RAFT聚合不同,可能是由于在阳离子RAFT聚合中不存在阻聚效应。图3. A)商用DLP 3D打印机模型示意图;B) 用于RPC-RAFT聚合3D打印的树脂配方; 聚合树脂在405 nm波长光源照射以及不同反应条件下单体的转化率与时间曲线:C) 不同光催化剂浓度;D)不同官能度乙烯基醚配比;E)不同RAFT试剂浓度。利用优化后的打印树脂与商业可得的DLP 3D打印机,研究团队成功打印出具有较好分辨率的物体(图4)。然而,打印速率最高为6.77 cm/h。当进一步优化打印条件提高速率时,由于IBVE相对较低的沸点(83 °C),释放的聚合热使树脂出现了沸腾现象。 图4. 具有不同形状的3D物体数字模型以及相应的3D打印实体模型。于是研究人员将低沸点的IBVE替换为高沸点(179.09 °C)的环己基乙烯基醚(CVE),成功将打印速率提升至12.99 cm/h,该速率为目前活性打印体系的最高值。在该打印条件下,成功打印出具有不同形成的三维物体(图5)。 图5. 具有不同形状的3D物体数字模型以及相应的3D打印实体模型。最终,研究人员通过荧光单体(TPE-a)的聚合后修饰证明了所打印物体的活性特征。如图6所示,在利用该树脂所打印的薄膜表面涂上荧光单体溶液并用打印机形成的图案光照射,随后洗去溶液。经过照射的部分由光引发RAFT聚合扩链成功实现了荧光单体的接枝,因此在紫外光下呈现出荧光图案(图6 F)。在对比实验中,打印的薄膜由不含RAFT试剂的树脂制备,经过相同操作后在紫外光下则无荧光图案(图6 D),证明了该方法所打印物体具有活性特征。 图6. A) DLP 3D打印机中进行3D打印物体后功能化修饰示意图;B)3D打印物体后功能化修饰机理图;C) 未经后功能化修饰的3D打印物体在可见光下的数字图像;D) 未经后功能化修饰的3D打印物体在紫外光下的数字图像;E) 经后功能化修饰的3D打印物体在可见光下的数字图像;F) 经后功能化修饰的3D打印物体在紫外光下的数字图像。该工作以“Fast Living 3D Printing via Free Radical Promoted Cationic RAFT Polymerization”为题发表在《Small》上 。论文第一作者是苏州大学在读博士生赵博文,通讯作者为苏州大学朱健教授和李佳佳博士后。该工作获得了国家自然科学基金,中国博士后科学基金以及江苏省优势学科基金的资助。后续工作敬请关注。原文链接:https://doi.org/10.1002/smll.202207637摩方精密作为微纳3D打印的先行者和领导者,拥有全球领先的超高精度打印系统,其面投影微立体光刻(PμSL)技术可应用于精密电子器件、医疗器械、微流控、微机械等众多科研领域。在三维复杂结构微加工领域,摩方团队拥有超过二十年的科研及工程实践经验。针对客户在新产品开发中可能出现的工艺和材料难题,摩方将持续提供简易高效的技术支持方案。
  • 【实验室动态】QD中国北京实验室引进完全无液氦综合物性测量系统 Dynacool样机
    只争朝夕,不负韶华,翻开2020年新的篇章,为满足国内日益增长的测试需求,更好的为广大科研工作者服务,Quantum Design中国子公司北京总部的样机实验室迎来了一个崭新而又熟悉的伙伴—PPMS DynaCool无液氦综合物性测量系统。 此次引进的DynaCool样机拥有9T的强磁场和1.9K-400K的变温环境,同时配备了常用的电学、磁学、热学测量功能,并会在未来继续增加更丰富的测量选件。为方便大家了解PPMS DynaCool系统的功能以及之后的测试申请,我们将对此套PPMS DynaCool系统目前配备的选件及应用进行介绍: PPMS 直流电输运测量选件(DCR)直流电输运测量选件采用样品托设计,用标准4引线法测量样品的直流电阻,每次可同时测量三个样品,并能够针对每个通道的样品分别设定激励电流或大功率。能够在全温区全磁场范围内测量?10 μΩ - 5 MΩ的材料电阻。 直流电输运选件测量的NbTi合金在不同磁场下的Tc相变点变化 振动样品磁强计选件(VSM) 与传统的电磁铁VSM相比,PPMS系统上的VSM在很多方面都更具优越性。先,PPMS系统选取了磁场方向与样品振动方向平行的VSM,与传统电磁铁中磁场方向垂直于样品振动方向的VSM相比,其测量精度大幅度提升。其次, PPMS系统的VSM采用线性电磁驱动马达,测量速率快、精度高且振动频率幅度连续可调,能在1秒内采集到灵敏度10-6emu的磁信号。后,得益于PPMS DynaCool系统磁体均匀度高、控温系统稳定的优势,也为VSM测量的度提供了良好的保障。室温环境下高度各向异性的FePt薄膜,面内扫场的磁滞回线 热学输运测量选件(TTO) 热输运测量选件(TTO)可同时连续地测量热传导系数、Seebeck系数(热电势)和交流电阻率,并由此得出热电性能指数(ZT)的指标。在高真空环境下,选件通过给样品施加方波脉冲加热功率,并记录其温度和电压响应来实现对样品热输运性能的连续测量。 进一步基于热电路模型的拟合算法从测量曲线中计算出样品的热导率和热功率,同时进行电阻测量。该选件可以在 PPMS提供的整个温度和磁场范围内自动计算样品ZT值。典型的热输运测量曲线图,包含热导率、Seebeck系数、电阻率以及通过计算得到的ZT值 比热测量选件(HC) 比热选件是一个基于样品托设计的微量热计,结合主机自带的高真空和磁场低温环境实现在全温度和磁场范围的样品比热容测量。通过脉冲热功率和温度迟豫响应建立的双τ模型能够准确拟合出被测样品的热容。该选件凭借简易而精致的实验设计方案获得了R&D100设计大奖。 NbTi合金在9K附近超导相变的比热测量曲线
  • 7类仪器入选产业关键共性技术发展指南 涉气相、快检
    p  为深入贯彻落实《中国制造2025》(国发〔2015〕28号),推进供给侧结构性改革,发挥产业技术研发应用对创新驱动的引领和支撑作用,增强关键环节和重点领域的创新能力,实现中国制造向中国创造转变,工信部组织修订了《产业关键共性技术发展指南(2017年)》。/pp  《产业关键共性技术发展指南(2017年)》共提出优先发展的产业关键共性技术174项,其中,原材料工业53项、装备制造业33项、电子信息与通信业36项、消费品工业27项、节能环保与资源综合利用25项。高端气相色谱类分析仪器的关键制造技术、食品安全危害因子高精度快速检测技术被重点“关注”。仪器信息网编辑摘录仪器相关内容,以飨读者:/pp  附件:a href="http://img1.17img.cn/17img/files/201710/ueattachment/96c9a6ff-c853-4075-9d83-bf32b6a92817.doc" style="color: rgb(0, 176, 240) text-decoration: underline "span style="color: rgb(0, 176, 240) "产业关键共性技术发展指南(2017年).doc/span/a/pp  span style="color: rgb(255, 0, 0) "strong工程机械/strong/span/pp  strong工程机械产品试验检测与可靠性技术/strong/pp  主要技术内容:可靠性、耐久性数据采集与实验室再现技术 温度场、噪声、振动等参量的综合检测技术 产品安全性能、环保性能、节能减排、噪声、环境适应性等多参量综合检测技术 整机与零部件可靠性验证方法等试验检测技术 零部件可靠性台架考核的试验方法研究和装备研制 关键部件疲劳寿命预估与可靠性、耐久性研究 产品生命周期动态可靠性设计平台 以及可靠性、耐久性基础知识库和数据库等。/pp  span style="color: rgb(255, 0, 0) "strong仪器仪表/strong/span/pp  strong1.压力传感器设计及制备技术/strong/pp  主要技术内容:传感器环境适应能力、输出一致性技术 核心部件高性能封装、传感器封装结构设计及过载保护、传感器温度特性补偿及测试等高性能压力传感器设计及制备技术 系统构成、信号处理方法、接口设计、性能设计、低功耗设计、物联网用电源模块、智能传感器系统集成等工业物联网用集成式智能压力传感器设计及制备技术 敏感芯片的设计及制造、全固态无引线封装工艺、高宽温区信号补偿及检测、可靠性强化试验等硅基压力传感器无引线封装制造技术。/pp  strong2.高端气相色谱类分析仪器的关键制造技术/strong/pp  主要技术内容:多品种新型检测器 提升原有FPD/FID/NPD/ECD/微型热导检测器u-TCD等检测器检测指标 EPC/EFC电子气体压力和流量模块小型化技术 进样系统关键技术。/pp  strong3.工业控制巨磁电阻传感器微型化和集成化技术/strong/pp  主要技术内容:巨磁电阻纳米多层膜材料沉积技术 巨磁电阻单元光刻刻蚀技术 介质光刻固化技术 保护层光刻固化技术 梯度式感知技术 巨磁电阻单元微型化技术 巨磁电阻单元与半导体工艺集成技术 信号高倍细分技术 噪声抑制技术等。/pp  strong4.集散控制系统(DCS)/可编程控制器(PLC)冗余设计关键技术/strong/pp  主要技术内容:冗余诊断技术 冗余的关键数据研究 冗余方式(切换、并联、热备、冷备等原理)选择技术 冗余数据一致化处理技术。/pp  span style="color: rgb(255, 0, 0) "strong轻工/strong/span/pp  strong食品安全危害因子高精度快速检测技术/strong/pp  主要技术内容:传感器阵列、多元可视等高通量多组分快速检测技术 适合于食品生产、流通环节使用的食品危害因子便携式检测装置 离子液体、石墨烯、金属有机框架材料等新型前处理识别新材料 不同食品中各类风险因子高通量、多组分精准速检测技术 智能化无损检验检测技术。/pp  span style="color: rgb(255, 0, 0) "strong医药/strong/span/pp  strong体外诊断设备及试剂生产技术/strong/pp  主要技术内容:高速全自动生化、免疫分析仪和分子诊断设备生产技术 新型试剂的开发 试剂的精确度和质量稳定性技术。/p
  • 约5.5亿元!西南交大发布186项仪器采购意向
    近日,科学仪器行业迎来了前所未有的利好消息。2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。 2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,10月7日以来,西南交通大学连续发布多则政府采购意向,总预算约5.5亿元。西南交通大学采购意向汇总表序号采购项目名称采购需求概况预算金额(万元)预计采购日期1西南交通大学牵引供电智能运维平台采购详情链接4002022年11月2西南交通大学全功能液气动力多元应用创新平台采购详情链接115.22022年11月3西南交通大学桥梁建造环境感知系统采购详情链接1482022年12月4西南交通大学桥梁建造数字孪生底座平台采购详情链接1612022年12月5西南交通大学高寒艰险山区长大桥梁建造装备智能控制技术研究平台采购详情链接1002022年11月6西南交通大学分析测试中心研究生实践基地项目采购详情链接3002022年11月7西南交通大学高海拔隧道施工环境控制系统采购详情链接1502022年12月8西南交通大学乘员-座舱环境热交互模拟系统采购详情链接1502022年12月9西南交通大学常规电机综合实验装置采购详情链接1002022年11月10西南交通大学电机虚拟仿真实验教学平台采购详情链接144.52022年12月11西南交通大学电力电子技术实时仿真实验系统采购详情链接1682022年11月12西南交通大学电力电子与电力传动实训实验室设备更新采购详情链接124.42022年11月13西南交通大学电子技术实验室设备更新采购详情链接194.152022年12月14西南交通大学远程实验控制系统平台采购详情链接6622022年12月15西南交通大学自控-传感器实验室设备更新采购详情链接193.352022年11月16西南交通大学高原环境载人模拟舱采购详情链接198.52022年12月17西南交通大学高水平公共测试服务平台建设项目采购详情链接29002022年11月18西南交通大学高水平公共测试服务平台建设项目采购详情链接3002022年11月19西南交通大学高速列车转向架系统微缺陷检测平台采购详情链接1502022年11月20西南交通大学高速列车关键零部件服役性能测试平台采购项目详情链接3202022年11月21西南交通大学先进功能涂层沉积系统采购项目详情链接3802022年12月22西南交通大学隧道衬砌分布式光纤测试系统采购详情链接2852022年12月23西南交通大学隧道衬砌结构热-力耦合原型试验装置采购详情链接3002022年12月24西南交通大学隧道衬砌劣化模拟与长寿命原型试验装置采购详情链接1602022年12月25西南交通大学隧道衬砌水泥基材料微纳米力学测试系统采购详情链接1302022年12月26西南交通大学隧道衬砌损伤声发射测试系统采购详情链接1452022年12月27西南交通大学隧道全尺寸衬砌结构装配式燃烧试验炉采购详情链接1982022年12月28西南交通大学公路基础设施材料制备与先进力学测试系统采购详情链接1202022年11月29西南交通大学高精度三维白光测量仪采购详情链接1352022年11月30西南交通大学复杂环境路面无机结合材料综合路用性能测试系统采购详情链接1502022年11月31西南交通大学道路基础设施材料高精度功能化评判系统采购详情链接1312022年11月32西南交通大学边坡体内外营力响应测试分析系统采购详情链接1392022年12月33西南交通大学强脉冲光表面处理系统采购项目详情链接1982022年11月34西南交通大学隧道防水材料受力状态下耐久性试验研究系统采购详情链接1002022年12月35西南交通大学多端口能量路由系统及其可靠性测试平台采购详情链接5002022年12月36西南交通大学牵引供电数字孪生平台采购详情链接3002022年11月37西南交通大学光电诊断系统采购详情链接1782022年11月38西南交通大学二级轻气炮系统采购详情链接1982022年11月39西南交通大学多通道高速激光测速系统采购详情链接1982022年11月40西南交通大学超快光电诊断系统采购详情链接4502022年11月41西南交通大学超级计算集群采购详情链接11002022年11月42西南交通大学复杂环境工况盾构/TBM刀具磨损试验及监测系统采购详情链接1052022年11月43西南交通大学电池安全测试与预警平台采购详情链接1812022年12月44西南交通大学固态电池电极材料合成平台采购详情链接1952022年12月45西南交通大学固态电池组装与测试平台采购详情链接1782022年12月46西南交通大学大功率多堆燃料电池混合动力系统实验平台采购详情链接8702022年11月47西南交通大学列车牵引传动-制动耦合作用模拟系统采购详情链接1002022年12月48西南交通大学岩土体全应力应变三轴蠕变试验系统采购详情链接1292022年12月49西南交通大学道路工程材料室内成型与辅助测试系统采购详情链接1022022年11月50西南交通大学高频引力波探测系统采购详情链接3602022年12月51西南交通大学高频-多场耦合粗颗粒三轴试验系统采购详情链接195.52022年11月52西南交通大学高速铁路无砟轨道病害智能检测装备系统采购详情链接1202022年11月53西南交通大学轨道结构材料响应细微观表征分析平台采购详情链接1202022年11月54西南交通大学混凝土无损渗透三轴试验测试系统采购详情链接1982022年11月55西南交通大学交通基础设施结构承载与内部损伤智能评价系统采购详情链接1232022年11月56西南交通大学交通基础设施智能运维与安全评价测试平台采购详情链接1502022年11月57西南交通大学数字化宽频带地震仪台阵采购详情链接1102022年11月58西南交通大学铁路轨道高频振动测试系统采购详情链接1702022年11月59西南交通大学铁路轨道宽频动力行为模拟与试验系统采购详情链接154.52022年11月60西南交通大学温湿度控制高频三轴实验系统采购详情链接1972022年11月61西南交通大学线路结构快速检测平台采购详情链接1982022年11月62西南交通大学线路土工结构地基处理全过程变形智能监测试验模块采购详情链接1312022年11月63西南交通大学低温、风沙、雨雪环境模拟箱采购详情链接1992022年11月64西南交通大学传动变频电机采购详情链接1602022年11月65西南交通大学传动变频调速系统采购详情链接1992022年11月66西南交通大学气体组分全断面监测实验系统采购详情链接1702022年12月67西南交通大学大数据分析平台采购详情链接199.82022年11月68西南交通大学分布式振动传感监测与检测系统采购详情链接1502022年11月69西南交通大学复杂环境路面材料耐久性能测试系统采购详情链接1772022年11月70西南交通大学物理学院高性能仿真平台二期采购详情链接1002022年11月71西南交通大学无线供电系统六轴多自由度模拟与自动测试平台采购详情链接3002022年12月72西南交通大学300km/h高速动态无线供电模拟平台采购详情链接2002022年12月73西南交通大学盾构隧道注浆控制原型试验系统采购详情链接2602022年12月74西南交通大学扫描电镜能谱一体机采购详情链接1402022年12月75西南交通大学隧道防水材料静动态防水试验研究系统采购详情链接1802022年12月76西南交通大学高陡隐蔽崩塌滑坡监测预警系统采购详情链接1202022年11月77西南交通大学功率半导体实验中心-超净间采购详情链接2132022年11月78西南交通大学功率半导体实验中心-微电子工艺教学设备采购详情链接6422022年11月79西南交通大学功率半导体实验中心-微电子VR工艺实训教学设备采购详情链接3202022年11月80西南交通大学功率半导体实验中心-功率器件塑封教学实验平台采购详情链接1412022年11月81西南交通大学功率半导体实验中心-功率器件粘片和推拉力测试教学实验平台采购详情链接1232022年11月82西南交通大学功率半导体实验中心-功率器件引线键合与焊接教学实验平台采购详情链接1482022年11月83西南交通大学功率半导体实验中心-功率器件烧结和检测教学实验平台采购详情链接1902022年11月84西南交通大学功率半导体实验中心-功率器件特性测试教学实验平台采购详情链接192.12022年11月85西南交通大学功率半导体实验中心-功率循环测试教学实验平台采购详情链接1552022年11月86西南交通大学稀释制冷机采购详情链接1402022年12月87西南交通大学大型双向动态环剪试验系统采购详情链接1902022年12月88西南交通大学多向动态土石混合体反压单剪试验系统采购详情链接3502022年12月89西南交通大学大型三向动态岩体/结构面剪切试验系统采购详情链接3902022年12月90西南交通大学铁道车辆弹性元件及强度实验台采购详情链接1202022年12月91西南交通大学冰湖岸坡与水下地形三维测绘系统采购详情链接1602022年11月92西南交通大学长大隧道桥梁灾害智能巡检监测系统采购详情链接1002022年11月93西南交通大学受电弓-接触网混合模拟系统采购详情链接4502022年12月94西南交通大学钻爆法隧道开挖支护三维试验系统及智能建造管控平台采购详情链接3802022年12月95西南交通大学大吨位结构压力试验机系统采购详情链接1592022年11月96西南交通大学结构光学图像测试系统采购详情链接1862022年11月97西南交通大学结构类位移荷载测试系统采购详情链接1992022年11月98西南交通大学AI视觉智能混凝土增材机械臂系统采购详情链接1832022年11月99西南交通大学小型智能减增材机械臂系统采购详情链接1592022年11月100西南交通大学混凝土材料时变性能测试系统采购详情链接1962022年11月101西南交通大学无机非金属材料实验制备系统采购详情链接1032022年11月102西南交通大学建筑材料耐久性实验试验箱设备采购详情链接1042022年11月103西南交通大学结构智能图像视觉测量系统采购详情链接1902022年11月104西南交通大学道路工程材料关键性能测试系统采购详情链接1442022年11月105西南交通大学道路工程材料关键性能测试系统采购详情链接1022022年11月106西南交通大学振动声学教学系统采购详情链接1262022年11月107西南交通大学数字化宽频带地震仪采购详情链接1202022年11月108西南交通大学结构常规无损检测仪器采购详情链接1972022年11月109西南交通大学结构高阶无损检测仪器采购详情链接1802022年11月110西南交通大学金属及非金属材料试验机采购详情链接1222022年11月111西南交通大学绿色建材力学性能测试系统采购详情链接1452022年11月112西南交通大学土木类数字孪生及虚仿实验教学系统采购详情链接1402022年11月113西南交通大学边坡雷达监测及预警系统采购详情链接1962022年12月114西南交通大学面向高移动场景的宽带毫米波通信平台采购详情链接5002022年12月115西南交通大学网络空间安全竞赛与实训平台采购详情链接1202022年12月116西南交通大学极端低温环境岩土体基本力学特性试验系统采购详情链接540.52022年12月117西南交通大学活动断裂-非一致地震效应模拟试验平台采购详情链接10002022年12月118西南交通大学隧道围岩多元钻进信息高精度同步采集试验装备采购详情链接3502022年12月119西南交通大学金属材料全周期多尺度静动力加载系统采购详情链接2632022年12月120西南交通大学水泥基材料全周期多尺度静力加载系统采购详情链接2002022年12月121西南交通大学中-高全速岩土旋转剪切仪采购详情链接2502022年12月122西南交通大学2022年计算机学院更新设备第一包详情链接382.82022年12月123西南交通大学2022年计算机学院更新设备第二包详情链接319.812022年12月124西南交通大学2022年计算机学院更新设备第三包详情链接431.22022年12月125西南交通大学高温高压岩体渗流试验系统采购详情链接1402022年12月126西南交通大学桥梁振声光测试系统采购详情链接1502022年12月127西南交通大学高速铁路无砟轨道线路智能化检测和运维技术研究平台采购详情链接1742022年11月128西南交通大学智慧同相牵引供电平台采购详情链接585.52022年12月129西南交通大学大型多海拔环境隧道火灾燃烧特性测试系统采购详情链接1952022年12月130西南交通大学隧道水位毫米波雷达感知系统采购详情链接1152022年12月131西南交通大学隧道多源数据融合的移动感知系统采购详情链接1802022年12月132西南交通大学信息学院实验室建设分包电子学实验室教学仪器采购详情链接5622022年11月133西南交通大学盾构(TBM)隧道智能建造试验平台采购详情链接10002022年12月134西南交通大学真三轴静动态测试岩石冲击系统采购详情链接2602022年12月135西南交通大学隧道主动支护拱形加载试验系统采购详情链接1502022年12月136西南交通大学高分辨X射线三维显微镜系统采购详情链接5502022年12月137西南交通大学复杂岩体物理模型及支护结构体的3D打印平台采购详情链接1552022年12月138西南交通大学多功能岩石测试系统采购详情链接4102022年12月139西南交通大学综合自动化实验设备采购项目详情链接101.52022年12月140西南交通大学三轴向高频随机振动试验台采购详情链接1902022年12月141西南交通大学超高速光发射设备采购详情链接1212022年11月142西南交通大学高移动激光宽带试验子平台采购详情链接1452022年11月143西南交通大学多波段微波暗室项目采购详情链接8602022年12月144西南交通大学无人机集群通信平台采购详情链接1402022年11月145西南交通大学光芯片封装系统采购详情链接1802022年12月146西南交通大学超高速率任意波形发生器采购详情链接4602022年11月147西南交通大学超带宽实时示波器采购详情链接4852022年11月148西南交通大学教室设备更换详情链接1257.1392022年11月149西南交通大学灾害条件下大跨铁路桥梁行车安全足尺混合试验平台采购详情链接2502022年12月150西南交通大学倒装贴片机采购详情链接1852022年11月151西南交通大学任意可编程光处理系统采购详情链接1612022年11月152西南交通大学量子纠缠综合实验平台采购详情链接1102022年11月153西南交通大学多功能动态损伤加载分析系统采购详情链接1722022年11月154西南交通大学DHR-2214型落锤式冲击试验系统采购详情链接1902022年11月155西南交通大学数字射频空间模拟系统与平台采购详情链接4002022年11月156西南交通大学电液伺服式加载试验系统采购详情链接4502022年11月157西南交通大学下一代列控系统平台(一期)采购详情链接3702022年11月158西南交通大学风-浪-流耦合试验平台系统采购详情链接32002022年12月159西南交通大学5G通信实验平台设备采购详情链接1662022年11月160西南交通大学智慧语言实验设备购置详情链接597.92022年11月161西南交通大学普通教室排椅更换采购项目详情链接674.632022年11月162西南交通大学专业教室多媒体设备采购详情链接533.82022年11月163西南交通大学专业教室桌椅等家具购置详情链接237.972022年11月164西南交通大学机房、网络、平台运维服务与线上直播支持保障服务采购详情链接1802022年11月165西南交通大学城市交通智能化平台设备采购详情链接4002022年12月166西南交通大学铁路编组站综合作业仿真实验平台采购详情链接260.52022年11月167西南交通大学物理实验教学示范中心进阶性物理实验平台设备购置详情链接345.882022年11月168西南交通大学疲劳试验机及磨损试验机采购详情链接1652022年11月169西南交通大学显微镜及硬度计采购项目详情链接280.352022年10月170西南交通大学聚焦离子束扫描电子显微镜和场发射扫描电子显微镜购置项目详情链接15002022年11月171西南交通大学智能交通AI超算共享平台采购详情链接7002022年11月172西南交通大学交通运输智能调度分析平台采购详情链接4502022年11月173西南交通大学城市物流供应链智能优化平台采购详情链接1852022年11月174西南交通大学交通主动安全智能运维平台采购详情链接5002022年11月175西南交通大学城市交通智慧出行减排优化平台采购详情链接3652022年11月176西南交通大学智慧交通网络安全靶场采购详情链接5002022年11月177西南交通大学大区域复杂交通环境互联网星座监测平台采购详情链接3002022年11月178西南交通大学地球科学与环境工程学院环境科学与工程实验中心实验设备采购详情链接156.72022年10月179西南交通大学微纳力学测试系统等采购项目详情链接105.352022年11月180西南交通大学地质资源与地质工程本科实验教学设备采购详情链接109.362022年10月181西南交通大学装配式钢结构反力架采购详情链接2802022年12月182西南交通大学地学学院消防工程实验中心实验设备采购详情链接102.92022年10月183西南交通大学2023年度物理国家级实验教学示范中心物理设备购置详情链接714.722022年11月184西南交通大学全站仪GNSS接收机采购详情链接141.752022年10月185西南交通大学高水平公共测试服务平台建设项目采购详情链接6002022年10月186西南交通大学分析测试中心测试能力提升建设项目采购详情链接1202022年10月
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制