当前位置: 仪器信息网 > 行业主题 > >

自动光刻设备

仪器信息网自动光刻设备专题为您提供2024年最新自动光刻设备价格报价、厂家品牌的相关信息, 包括自动光刻设备参数、型号等,不管是国产,还是进口品牌的自动光刻设备您都可以在这里找到。 除此之外,仪器信息网还免费为您整合自动光刻设备相关的耗材配件、试剂标物,还有自动光刻设备相关的最新资讯、资料,以及自动光刻设备相关的解决方案。

自动光刻设备相关的资讯

  • 某国产光刻设备商公开和授权一批光刻技术专利
    近日, 合肥芯碁微电子装备股份有限公司公开和授权一批光刻技术专利。 据了解,合肥芯碁微电子装备股份有限公司(简称:芯碁微装),成立于2015年6月,注册资本12080万元,坐落于合肥市高新区集成电路产业基地,公司专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产。主要产品及服务包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备。以下为专利详情:发明名称专利类型法律状态申请号申请日公开(公告)号公开 (公告)日期一种激光直接成像设备对准相机位置关系误差的测量方法发明授权授权CN201910534115.12019-06-20CN110275399B2021-05-07一种激光直写光刻机长辊式压板机构发明公布公开CN202011626210.32020-12-30CN112764322A2021-05-07镜头畸变补偿方法、存储介质以及直写式光刻机发明公布公开CN202011635539.62020-12-31CN112748644A2021-05-04吸盘组件和具有其的光刻机实用新型授权CN202022035008.52020-09-15CN213069472U2021-04-27成像装置和光刻机实用新型授权CN202021586260.92020-08-03CN213069471U2021-04-27一种用于直写光刻机的电机串并联系统实用新型授权CN202022234271.72020-10-09CN213069473U2021-04-27《一种激光直接成像设备对准相机位置关系误差的测量方法》公开了一种激光直接成像设备对准相机位置关系误差的测量方法,包括建立激光直接成像设备基础台面的直角坐标系;在基础台面上放置尺寸标定板,该尺寸标定板布置有至少三个MARK点,其中有三个MARK点构成的直角三角形;利用左对准相机和右对准相机测量构成直角三角形的MARK点的中心坐标;以左对准相机或右对准相机为基准相机,利用所测MARK点的中心坐标计算两对准相机的位置关系误差。本发明解决了两相机距离较远无法标定位置关系的问题。《成像装置和光刻机》公开了一种成像装置和光刻机,成像装置包括:镜筒、光学组件和运动转换件,镜筒内形成有安装腔,镜筒形成有轴向延伸的限位槽,限位槽径向贯穿镜筒的壁,光学组件设置于安装腔内,光学组件外侧设置有移动件,移动件穿设限位槽,以实现光学组件轴向移动,运动转换件可转动地套设在镜筒的外侧且与移动件相配合,以在运动转换件相对镜筒转动时驱动移动件在限位槽内轴向移动。使用该运动转换件可以将光学组件的旋转和上下两个方向的运动分开,通过运动转换件的旋转推动移动件,从而可以带动光学组件实现上下移动,这样避免了传统的直接使用螺纹旋转上下调节给成像装置的成像质量带来的各种不良影响。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级。光刻技术成为一种精密的微细加工技术。基于此,仪器信息网拟于2021年5月14日举办“半导体光刻技术与应用主题网络研讨会”,依托“网络讲堂”栏目,邀请业内专家以及厂商技术人员参与本次网络研讨会,就半导体光刻技术等话题共同探讨,为广大从事半导体光刻设备和技术研发的专家学者和技术人员提供一个交流的空间。(点击图片免费报名参会)
  • 2020光刻设备中标盘点:疫情之后,市场活力回升!
    p style="text-align: justify text-indent: 2em "span style="text-indent: 28px "光刻机被业界誉为集成电路产业皇冠上的明珠,又名:掩模对准曝光机,曝光系统,光刻系统等,是制造芯片的核心装备。它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。出于众所周知的原因,中芯国际2018年订购、原预计2019年到货的ASML EUV光刻机,在2021年即将到来之际,依然听不到何时能发货的消息;近日,有消息人士透露,中芯国际新上任董事会副董事长蒋尚义将与ASML公司就EUV光刻设备进行谈判,谋求EUV光刻机发货。目前,中国光刻机技术至少落后国际先进水平2代以上,为解决中国半导体制造面临的困难,中科院率先士卒,白春礼院长表示:将光刻等卡脖子技术列入院里紧急的科研任务清单。/spanbr//pp style="text-indent: 28px text-align: justify "除了前述的紫外光刻技术外,广义的光刻设备还包括电子束光刻和离子束光刻等,在spanASML/span之外,还有众多其他生产厂商。此外,不同的应用(如:掩膜版、功率芯片等)对光刻机的制程要求也不同,中国市场上对span14nm/span以上的支撑的光刻机也有广泛的需求。硅芯片对先进制程光刻机要求很高,对于石墨烯晶圆发展出的碳基芯片而言,存在一种可能性:基于石墨烯的性能,在制造方面绕开了复杂的高端光刻技术,也可以理解为,对光刻技术的要求不像span5nm/span硅基芯片那么高的要求。span2019/span年,国际石墨烯创新大会上,中科院首次展示开发完成的span8/span英寸石墨烯晶圆,无论是在质量上或是尺寸上,该成果都达到了最顶尖的水平。仪器信息网近期特对一年内的光刻设备的中标讯息整理分析,供广大仪器用户参考。span style="color: rgb(165, 165, 165) font-size: 14px "(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)/span/pp style="text-align: center text-indent: 0em "span img style="max-width: 100% max-height: 100% width: 400px height: 240px " src="https://img1.17img.cn/17img/images/202012/uepic/34802fd0-c374-449f-9e6c-c4ac8e833df1.jpg" title="1.png" alt="1.png" width="400" height="240" border="0" vspace="0"//span/pp dir="ltr" style="text-align: center text-indent: 0em "strongspan style="font-family:' 微软雅黑' ,sans-serif color:#444444"各月中标量占比/span/strong/pp style="text-indent: 28px text-align: justify "span2019/span年span10/span月至span2020/span年span9/span月,根据统计数据,光刻设备的总中标数量为span104/span台,涉及金额上亿元。span2019/span年span10/span月至span2020/span年span1/span月,平均中标量约span9/span台每月。span2020/span年span2/span月,由于疫情影响,光刻设备市场低迷,无成交量。从span2020/span年span3/span月起,随着国内疫情稳定以及企业复产复工和高校复学的逐步推进,光刻设备市场逐渐回暖,其中span9/span月产品中标量高达span20/span台。 /pp style="text-indent: 0em text-align: center "img style="max-width: 100% max-height: 100% width: 400px height: 240px " src="https://img1.17img.cn/17img/images/202012/uepic/e0baace5-ac38-47dc-b240-776c8f3cb4a3.jpg" title="2.png" alt="2.png" width="400" height="240" border="0" vspace="0"//pp style="text-indent: 0em text-align: center "strongspan style="font-family:' 微软雅黑' ,sans-serif color:#444444"采购单位性质分布/span/strong/pp style="text-indent: 28px text-align: justify "从光刻设备的招标采购单位来看,高校是采购的主力军,采购量占比高达span55%/span,企业和科研院所的采购量分别占比span23%/span和span22%/span。值得注意的是,企业和科研院所采购设备的单价较高,集中于高端设备和量产型设备,而高校采购以科研为主,多采购无掩膜激光直写设备。其中,在企业采购中,华虹半导体是主力。/pp style="text-align: center text-indent: 0em "img style="max-width: 100% max-height: 100% width: 400px height: 240px " src="https://img1.17img.cn/17img/images/202012/uepic/3c69022a-3cd3-43ee-81d6-f0ac3b9e7b91.jpg" title="3.png" alt="3.png" width="400" height="240" border="0" vspace="0"//pp style="text-align: center text-indent: 0em "strongspan style="font-family:' 微软雅黑' ,sans-serif color:#444444"招标单位地区分布/span/strong/pp style="text-indent: 28px text-align: justify "本次盘点,招标单位地区分布共涉及span21/span个省份、自治区及直辖市。北京、上海、广东、江苏、浙江为光刻设备采购排名前span5/span的地区,其中北京的中标量最多,达span21/span台。在这些地区中,北京和广东以高校和科研院所采购为主,主要用于科研领域;上海以企业采购为主,这主要由于上海是我国集成电路产业发达地区;江苏以高校和企业采购为主,而浙江以科研院所采购为主。/pp style="text-indent: 0em text-align: center "img style="max-width: 100% max-height: 100% width: 400px height: 235px " src="https://img1.17img.cn/17img/images/202012/uepic/80044ef4-742c-4cb2-8c07-afdd52cb1f69.jpg" title="4.png" alt="4.png" width="400" height="235" border="0" vspace="0"//pp style="text-align: center text-indent: 0em "strongspan style="font-family:' 微软雅黑' ,sans-serif color:#444444"不同类型光刻设备占比/span/strong/pp style="text-indent: 28px text-align: justify "广义上的光刻设备还包括了电子束光刻和离子束光刻,根据搜集到的中标数据可知,传统光刻占据了中标光刻设备的主流、占比高达span92%/span。电子束光刻又称电子束曝光机,在采购中仅占span7%/span,主要用于科研领域和掩模版制作,但由于其刻蚀速率太低,无法用于量产,因此采购量较少,但span style="color: rgb(0, 0, 0) "stronga href="https://www.instrument.com.cn/news/20201106/564008.shtml"电子束曝光机是半导体制造的基础设备/a/strong/span。/pp style="text-indent: 28px text-align: justify "本次光刻设备中标盘点,涉及品牌有卡尔蔡司、spanRaith B.V./span、spanRAITH GmbH/span、span style="font-size:15px color:black"sigma、日本电子株式会社、spanSUSS MicroTec/span、spanHeidelberg Instruments/span、spanDurham Magneto Optics Ltd/span、/span span style="font-size:15px color:black"Nikon、spanEVG/span、spanTEL/span/span等。/pp style="text-indent:28px"其中,各品牌比较受欢迎的产品型号有:/pp style="text-align: center text-indent: 0em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202009/pic/c40ad352-119d-45d6-9361-9be039fcb2aa.jpg!w300x300.jpg" width="400"//ppbr//pp style="text-indent: 0em text-align: center "a href="https://www.instrument.com.cn/netshow/SH104744/" target="_self" style="text-decoration: none "span style="text-decoration: none color: rgb(0, 0, 0) "strong style="font-size: 16px text-decoration: underline "德国海德堡无掩膜直写设备/strong/spanstrong style="font-size: 16px text-decoration: underline "/strong/a/pp style="text-indent: 28px text-align: justify "span style="font-size: 16px "德国海德堡设备(Heidelberg Instruments),创始于1984年,在激光直写设备的发展和设计上持续地改良、在各种应用上客制化。海德堡区别于过去传统的工艺技术而开发的无掩膜激光直写技术,将设计图形直接曝光到涂覆有光刻胶的衬底材料上;曝光后,如果需要修改图形结构,可以直接通过CAD软件修改原始图形,然后重新曝光即可,无需花费重新制版的时间。主要产业应用有:生命科学、微流体、MEMS、微光学、传感器、材料研究等有微纳米结构需求的科研领域。/span/pp style="text-align:center"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202009/pic/4b1e075e-81ff-4c18-90f1-bd342d67b07a.jpg!w300x300.jpg" width="400"//pp style="text-align:center"a href="https://www.instrument.com.cn/netshow/C435461.htm" target="_self" style="font-size: 16px text-decoration: underline "strongspan style="font-size: 15px color: black "URE-2000/35型光刻机/span/strong/a/pp style="text-indent: 29px text-align: justify "span style="font-size: 15px color: black "URE-2000/35型光刻机非常适合工厂(效率高,操作傻瓜型,自动化程度高)和高校教学科研(可靠性好,演示方便)采用自动找平,具备真空接触曝光、硬接触曝、压力接触曝以及接近式曝光四种功能,自动分离对准间隙和消除曝光间隙,采用 350W 进口(德国)直流汞灯,可调节光的能量密度。设备外形美观精制,性能非常可靠,自动化程度很高,操作十分方便。/span/pp style="text-align:center"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/201906/pic/eaf3b9a8-4055-486b-b31d-432241c0c564.jpg!w300x300.jpg" width="400"//pp style="text-align:center"a href="https://www.instrument.com.cn/netshow/C327949.htm" target="_self" style="font-size: 16px text-decoration: underline "span style="font-size: 16px "strongspan style="font-size: 15px color: black "EVG610单面/双面光刻机/span/strong/span/a/pp style="text-indent: 29px text-align: justify "span style="font-size: 15px color: black "EVG610是一款非常灵活的、适用于研发和小批量试产的对准系统,可处理200mm之内的各种规格的晶片。EVG610支持各种标准的光刻工艺,例如:真空、软、硬接触和接近曝光;也支持其他特殊的应用,如键合对准、纳米压印光刻、微接触印刷等。EVG610系统中的工具更换非常简便快捷,每次更换都可在几分钟之内完成,而不需要专门的工程人员和培训,非常适合大学、研究所的科研实验和小批量生产。/span/pp style="text-indent: 28px text-align: justify "点击此处进入span style="color: rgb(0, 176, 240) "【a href="https://www.instrument.com.cn/zc/2507.html"光刻机/a】/span专场,获取更多产品信息。/pp style="text-align: left text-indent: 0em "br//pp style=" margin-bottom:0 text-align:center"strongspan style="font-family:' 微软雅黑' ,sans-serif color:#444444"更多资讯请扫描下方二维码,关注【材料说】/span/strong/pp style="margin-bottom: 0px text-align: center "img style="max-width: 100% max-height: 100% width: 344px height: 344px " src="https://img1.17img.cn/17img/images/202012/uepic/2692bb86-ec9d-4332-9deb-ddc25bdcac7f.jpg" title="材料说.jpg" alt="材料说.jpg" width="344" height="344"//p
  • 这类仪器国产率仅11%,德国产品最受欢迎—全国共享光刻设备盘点
    光刻机被业界誉为集成电路产业皇冠上的明珠,又名:掩模对准曝光机,曝光系统,光刻系统等,是制造芯片的核心装备。它采用类似照片冲印的技术,把掩膜版上的精细图形通过光线的曝光印制到硅片上。但这种光刻机主要用于工业生产,对于半导体器件等研发来说,先进的紫外光刻机显得昂贵且笨重,同时由于其对光刻速度不敏感,因此科研领域往往使用激光直写设备和电子束曝光机来处理光刻胶。但一直以来,对科研用光刻设备缺乏调查。1月22日,科技部和财政部联合发布《科技部 财政部关于开展2021年度国家科技基础条件资源调查工作的通知(国科发基〔2020〕342号)》,全国众多高校和科研院所将各种科学仪器上传共享,对其中光刻设备的统计分析或可一定程度反映科研用光刻设备的市场信息。小编特对其进行分类统计,供读者一阅。各省(直辖市/自治区)光刻设备分布各省(直辖市/自治区)光刻设备分布图根据统计数据,共享光刻设备的总数量为291台,涉及22省(直辖市/自治区)。北京、江苏、广东、上海为共享光刻设备最多的地区,其中北京的数量最多,达83台。北京共享科研光刻设备数量较多,主要是由于其实力强劲的高等院校较多,其科研经费充足,可以购买更多的设备。这四个地区的经济发展水平在全国名列前茅,而且半导体产业发达,对光刻设备的需求也更高。进一步统计发现,光刻设备主要分布于北京大学、中科院半导体研究所、中国科学技术大学和清华大学。不同类型光刻设备分布根据搜集到的数据可知,传统的紫外光刻机占据了主流,占比达58%。电子束曝光机和激光直写设备占比都为21%。电子束曝光机主要用于科研领域和掩模版制作,但由于其刻蚀速率太低,无法用于量产,因此主要用于科研或掩模版制作,但电子束曝光机是半导体制造的基础设备。虽然这其中紫外光刻机仍然占据主流,但与《2020光刻设备中标盘点:疫情之后,市场活力回升! 》中的占比相比,其占比少得多,这主要由于科研对光刻速率要求不敏感,而电子束曝光机和激光直写设备可以在一定程度上满足科研需求。光刻设备品牌分布紫外光刻机品牌分布电子束曝光机品牌分布激光直写设备品牌分布从光刻设备的整体品牌分布图可以看到,德国SUSS的光刻设备占比最多达26%,其次为美国ABM和德国Raith分别为13%和9%。需要注意的是,Raith是电子束曝光机厂商。具体到传统紫外光刻机品牌分布可以发现,SUSS占比高达45%,ABM占比达22%,SUSS在科研用紫外光刻机占据主流。全球光刻巨头ASML、尼康和佳能都不在其中,这表明工业用光刻设备和科研用光刻设备的需求不同,厂商也有所不同。在电子束曝光机中,Raith占比达45%。在激光直写设备中,德国Heidelberg占比26%,虽然占比最高,但其未呈现出压倒性优势,而且国内设备厂商苏大维格在此类设备中也占据一定份额。以上三类设备中,只有激光直写设备中前排出现了国产品牌,这可能得益于我国先进的激光技术。光刻设备产地国家分布紫外光刻机产地分布电子束曝光机产地分布激光直写设备产地分布从光刻设备的产地分布可以看出,德国设备最受国内科研用户青睐,占比达45%,而国产设备仅占11%的份额。对于紫外光刻机来说,德国占比46%,美国25%。电子束曝光机的设备中,德日占据主流,德国主要是Raith设备较多,日本凭借其强大的电子显微镜技术也占据一定的市场份额,这主要是由于电子显微镜和电子束曝光机的技术有共通之处。虽然在激光直写设备中,德国设备占比仍然最多,但国产厂商也不甘落后达34%。不同于工业领域的日本厂商和荷兰ASML的垄断,科研领域光刻厂商中,德国企业实力雄厚,涌现出一批实力强大的企业。国产厂商整体虽然占比很低,但在激光直写设备中显示出了强大的活力。本次光刻设备中标盘点,涉及品牌有Raith、SUSS、ABM、Heidelberg、DMO、Nikon、EVG、JEOL、NBL等。其中,各品牌比较受欢迎的产品型号有:德国海德堡多功能无掩膜激光直写机/光刻机-DWL66+DWL66+ 激光光刻系统是具经济效益、具有高分辨率的图形发生器。适用于小批量掩膜版制作和直写需求。DWL66+拥有多种选配模块,例如:正面和背面对准系统;405nm和375nm波长的激光发生器;进阶选配:精度校准和自动上下板加载系统。单面/双面光刻机:EVG 620EVG620 是一款非常灵活和可靠的光刻设备,可配置为半自动也可以为全自动形式。EVG620既可以用作双面光刻机也可以用作150mm硅片的精确对准设备;既可以用作研发设备,也可以用作量产设备。精密的契型补偿系统配以计算机控制的压力调整可以确保良率和掩膜板寿命的大幅提升,进而降低生产成本。EVG620先进的对准台设计在保证精确的对准精度和曝光效果的同时,可以大幅提高产能。德国Raith高分辨电子束曝光机150 TwoRaith 150 Two作为高分辨电子束曝光系统,自推出以来全球销量不容忽视。该系统被广泛地用于研发和纳米技术中心,已证明了系统的24/7使用的稳定性。Raith 150 Two 可实现亚5nm的曝光结构,可处理8”晶元及以下样片。环境屏蔽罩保证了系统的热稳定性,提高设备对实验室环境的容忍度,即使在相对糟糕的实验室环境下,也能保证系统的正常稳定运行。德国 SUSS光刻机MA/BA6MA/BA6掩模和粘结对准器专为最大 150 mm 晶圆尺寸而设计。 MA/BA6用于MEMS 应用、光学元件和复合半导体生产。 它在研究与开发环境中的多方面应用领域非常有说服力,在生产环境中也同样优秀,这得益于其良好的工艺成果。此外,SUSS的MJB系列,ABM的ABM/6/350/NUV/DCCD/M等产品也广受欢迎。
  • 三星旗下Semes成功开发ArF-i光刻涂胶/显影设备
    三星电子旗下的晶圆厂设备公司Semes成功开发出一种ArF-i浸润式光刻涂胶/显影设备。该公司6月24日表示,第一台名为“Omega Prime”的设备已于去年供货,Semes正在制造第二台设备。迄今为止,韩国芯片制造商在设备方面严重依赖外国进口,三星每年花费达数千亿韩元。据了解,涂胶设备用于曝光前,对晶圆进行光刻胶涂布。在完成光刻后,需由显影设备进行光刻图案的显影。Semes目前已制造出KrF光刻涂胶/显影设备,并在此基础上开发了ArF版本,以支持波长更短的新型光刻机。据业界报道,目前东京电子(Tokyo Electron)拥有ArF-i光刻涂胶/显影设备全球90%以上的市场份额。Semes表示,在Omega Prime设备上应用了喷嘴、烘烤温度和机器人位置自动调整系统,以消除涂布层的偏差。
  • 卡尔蔡司扩建光刻设备光学元件工厂并扩建光掩模研发设施
    卡尔蔡司半导体制造技术公司(ZEISS SMT)是卡尔蔡司的子公司,生产半导体光刻设备的光学元件,宣布在德国黑森州韦茨拉尔(Wetzlar)开始建设一座用于DUV光刻设备光学元件的新工厂。 计划于2025年完工。新工厂计划竣工示意图(资料:卡尔蔡司)Wetzlar的生产基地生产DUV光刻设备的光学元件已有20多年的历史,但该公司表示,随着工业4.0、自动驾驶和5G等大趋势推动对半导体制造设备的需求,现有工厂的制造能力已达到极限,它将随着新工厂的建设而提高产量。 新工厂的生产面积将超过1,2000m2,将创造150个新工作岗位。Wetzler的现有工厂(380名员工)也在测试各种自动化新概念,并将结果纳入新工厂,并特别注意用于敏感测量的无振动结构,因为DUV光刻设备的光学产品需要纳米级精度。蔡司SMT最大的客户ASML将公司的大量积压归因于曝光设备光学镜头供应不足,这也提高了对蔡司SMT新工厂运营的期望。扩大德国研发基地卡尔蔡司还宣布,到2026年底,将投资超过2000万欧元扩建其位于德国黑森州罗斯多夫的光掩模研发设施。 该设施将增加一个300平方米的洁净室,并开发一个以纳米精度修复光掩模缺陷的系统。基于卡尔蔡司电子束技术的MeRiT系统甚至可以以纳米精度修复光掩模中的最小缺陷,许多半导体制造商使用该系统来修复光掩模。 由于半导体不断小型化、精密化和节能化,因此不断开发掩模修复系统也至关重要。
  • 各大学5亿元光刻设备采购意向汇总
    近日,科学仪器行业迎来了前所未有的利好消息。2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。 2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,10月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算超5亿元。光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位发布时间预计采购时间查看1电子束光刻机3000清华大学2022/10/7 14:09Nov-22意向原文2飞秒激光三维直写系统400清华大学2022/10/7 14:09Nov-22意向原文3激光直写设备600清华大学2022/10/7 14:09Nov-22意向原文4接触式光刻机700清华大学2022/10/7 14:09Nov-22意向原文5步进式光刻机3000清华大学2022/10/8 8:29Nov-22意向原文6自旋科技研究院购置电子束曝光系统项目498华南理工大学2022/10/8 16:35Nov-22意向原文7聚焦离子束-电子束曝光系统(FIB-EBL)820哈尔滨工程大学2022/10/9 17:08Nov-22意向原文8集成电路学院激光直写光刻机采购452.9中山大学2022/10/10 15:57Nov-22意向原文9集成电路学院接触式光刻机(微米级)采购357.7中山大学2022/10/10 15:57Nov-22意向原文10电子束光刻系统1200华南理工大学2022/10/10 17:23Nov-22意向原文11化学化工学院双光子三维激光直写系统设备采购项目400兰州大学2022/10/10 21:24Nov-22意向原文12电子束光刻系统1200华南理工大学2022/10/12 8:40Nov-22意向原文13电子与信息工程学院步进式光刻机采购项目4300中山大学2022/10/13 10:55Nov-22意向原文14电子与信息工程学院接触式曝光机采购项目330中山大学2022/10/13 10:55Nov-22意向原文15电子与信息工程学院无掩模板紫外光刻机采购项目216中山大学2022/10/13 10:55Nov-22意向原文16电子与信息工程学院无掩膜激光直写曝光机采购项目500中山大学2022/10/13 10:55Nov-22意向原文17中国药科大学微纳米光刻机(精密对位曝光系统)项目230中国药科大学2022/10/13 14:28Nov-22意向原文18光刻机3465北京化工大学2022/10/13 15:52Nov-22意向原文19微立体光刻精密加工系统260吉林大学2022/10/13 17:47Nov-22意向原文20材料学院紫外光刻机采购项目180中山大学2022/10/13 22:58Nov-22意向原文21扫描电子显微镜FEI Quanta450-电子束曝光升级模块147.6中山大学2022/10/13 22:58Nov-22意向原文22超高分辨率的电子束光刻(EBL)采购项目1600中山大学2022/10/14 9:01Nov-22意向原文23激光直写式光刻机160吉林大学2022/10/14 11:51Nov-22意向原文24激光无掩膜光刻系统200中山大学2022/10/14 16:27Dec-22意向原文25物理科学与技术学院紫外光刻机45兰州大学2022/10/14 16:51Nov-22意向原文26物理学院/部门+光刻机采购项目23兰州大学2022/10/14 16:51Nov-22意向原文27紫外掩膜曝光光刻机采购200中山大学2022/10/14 19:14Nov-22意向原文28分析测试中心无掩模激光直写系统采购项目460北京理工大学2022/10/17 13:59Dec-22意向原文29电子束光刻系统1200华南理工大学2022/10/18 8:25Nov-22意向原文30光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文31无掩膜光刻200华中科技大学2022/10/18 17:33Nov-22意向原文32掩膜对准光刻机380华中科技大学2022/10/18 17:33Nov-22意向原文33电子束光刻机500山东大学2022/10/18 22:01Nov-22意向原文34分子束外延(MBE)系统真空电子束曝光(EBL)系统采购1800华南理工大学2022/10/19 8:23Nov-22意向原文35聚焦电子束光刻系统640东北师范大学2022/10/20 11:15Nov-22意向原文368寸光刻机600东南大学2022/10/20 16:00Nov-22意向原文37激光直写曝光系统450浙江大学2022/10/25 14:17Nov-22意向原文38DUV塔尔博特光刻680同济大学2022/10/25 20:43Dec-22意向原文39电子束光刻机3500同济大学2022/10/25 20:43Dec-22意向原文40激光直写设备750同济大学2022/10/25 20:43Dec-22意向原文41双面对准光刻机450同济大学2022/10/25 20:43Dec-22意向原文42光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文43掩膜对准光刻机420华中科技大学2022/10/28 14:30Dec-22意向原文44电子束曝光系统297北京大学2022/10/28 15:04Dec-22意向原文45高分辨掩膜光刻机采购390西南大学2022/11/1 20:27Dec-22意向原文46中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学2022/11/2 16:21Nov-22意向原文47超高精密微立体光刻加工系统279厦门大学2022/11/2 17:01Dec-22意向原文48双光子灰度对准光刻机690天津大学2022/11/3 13:24Dec-22意向原文49无掩膜光刻机320天津大学2022/11/3 13:24Dec-22意向原文50电子束曝光机维保160中国科学院微电子研究所2022/11/3 19:55Nov-22意向原文51光刻机360吉林大学2022/11/4 8:26Dec-22意向原文52物理学院原位光谱激光直写加工系统600北京航空航天大学2022/11/5 12:57Dec-22意向原文53高精度电子束曝光机870北京师范大学2022/11/7 18:55Dec-22意向原文54集成电路学院电子束曝光机采购1400中山大学2022/11/9 15:51Dec-22意向原文55TX-电子束曝光(EBL)1800华中科技大学2022/11/9 18:22Dec-22意向原文56TX-对准光刻与晶圆键合机490华中科技大学2022/11/9 18:22Dec-22意向原文57TX-高精度无掩膜光刻机500华中科技大学2022/11/9 18:22Dec-22意向原文58TX-晶圆划片道直写填充装备420华中科技大学2022/11/9 18:22Dec-22意向原文59TX-深紫外光刻机(DUV)4200华中科技大学2022/11/9 18:22Dec-22意向原文60激光直写光刻机400浙江大学2022/11/10 14:56Dec-22意向原文61面向国家“卡脖子”技术需求的工业芯片设计与制造全流程技术平台--X-射线衍射仪、紫外光刻机、步热分析仪500东北大学2022/11/10 18:35Dec-22意向原文62台式微纳结构高速直写系统180华东师范大学2022/11/11 8:46Nov-22意向原文
  • 传俄罗斯2028年量产7nm光刻设备
    据外媒Tomshardware报导,一家俄罗斯研究单位正在研究开发自己的半导体微影光刻设备,预计该设备可以被用于7纳米制程芯片的生产上。整个计划预计在2028年完成,而且一旦完成之后,其设备可能会比ASML的Twinscan NXT:2000i效能更高。值得一提的是,ASML开发Twinscan NXT:2000i的时间超过了10年。报导表示,俄罗斯政府推出了一项国家计划,到2030年开发出自己的28纳米制程技术,并尽可能利用外国芯片进行逆向工程取得技术,同时也要培养本土人才从事国产芯片的生产工作。根据俄罗斯所发表的计划,俄罗斯科学院下属的俄罗斯应用物理研究所(Russian Institute of Applied Physics,IAP)预期,到2028年研发且量产出具有7纳米制造能力的微影光刻设备。报导指出,俄罗斯即将开发量产的设备,将与ASML或NIKON等公司生产的微影光光设备有所不同。例如,IAP计划使用大于600W的光源,曝光波长为11.3nm(EUV波长为13.5纳米),这将需要比现在更复杂的光学元件。由于该设备的光源功率相对较低,这将使该工具体积更紧凑,因此更容易制造。然而,这也意味着其微影光刻设备的芯片产量将大大低于现代深紫外(DUV)微影光刻设备。但IAP表示,这将不会是问题。就现阶段来说,32纳米以下的制程技术,制造商目前主流采用的是所谓的沉浸式微影光刻设备。ASML于2003年底推出了其第一款沉浸式微影光刻设备-Twinscan XT:1250i,并在2004年第三季交货一台设备,用以生产65纳米逻辑芯片和70纳米等级的DRAM。之后,该公司花了大约5年时间,于2008年底宣布推出之支援32纳米的Twinscan NXT:1950i,沉浸式微影光刻设备,并于2009年开始向客户交货。以上说明,代表当前的技术领先者ASML大约花了9年的时间,才在2018年交货其支援7纳米和5纳米制程的Twinscan NXT:2000i DUV微影光刻设备。再从ASML的产品发展历程来看,从65纳米制程发展到7纳米制程,总计用了14年的时间。现在,在芯片生产方面没有任何经验或与芯片制造商没有任何联系的俄罗斯IAP,打算在大约6年的时间里从头开始制造一套支援7纳米制程的微影光刻设备,并进一步进行量产,虽然这个计划听起来实在不太可行,但看起来IAP却是充满了热情。根据发展时程,IAP计划在2024年之前建造一个功能齐全的首代微影光刻设备。这个微影光刻设备不必提供高生产率,或最大解析度,但必须能运作,使其对潜在投资者有吸引力。之后,IAP打算在2026年之前制造出具有更高生产力和解析度的微影光刻设备的测试版。这时这套机器应该要可以量产晶圆,但预计其生产力不会达到最大。至于,俄罗斯规划的微影光刻设备终极版本将在2028年问世,其不但要能获得高性能光源,并且具有更好的计算量测和整体能力。不过,目前尚没有公布IAP与其生产合作伙伴将要生产多少套此类设备。
  • 盘点光刻设备国产零部件最新进展
    光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。针对于此,去年中科院院长白春礼接受采访时表示:“未来中科院将集结全院之力攻克光刻机、关键材料等重点技术,帮助国内科技企业摆脱被西方国家卡脖子的命运。”实际上此前我国已经对光刻机的零部件进行了大量的技术公关,去年小编也盘点了02专项中光刻机核心零部件研发进展【国产光刻机及关键核心零部件研发进展 】。而最近国内再次取得了新的技术进步,小编特对其进行盘点。中科院物理研究院国内第一台高能同步辐射光源设备问世6 月 28 日上午,由国家发展改革委立项支持、中国科学院高能物理研究所承建的高能同步辐射光源(HEPS)完成了加速器设备电子枪的安装,这是 HEPS 首台安装的科研设备,是加速电子产生的源头。为 HEPS 提供技术研发与测试支撑能力的先进光源技术研发与测试平台(PAPS)同期转入试运行,超导高频及低温、精密磁铁测量、X 射线光学检测等设备开机运转。接近光速运动着的电子或正电子在改变运动方向时放出的电磁波叫做辐射波,因为这一现象是在同步加速器上发现的,所以称为同步辐射。这种电子的自发辐射,强度高、覆盖的频谱范围广,可以任意选择所需要的波长且连续可调,因此成为一种科学研究的新光源。高能同步辐射光源将成为中国首个第四代同步加速器光源,它也将成为世界上仅有的几个此类装置之一。它将使用更先进的,被称为 “多弯消色差透镜” 的磁铁阵列,从而获得亮度更大的光束。同步辐射有可能被用作强X射线源和精细可调谐X射线源,进而用于衍射、光谱、成像以及其他用途,未来也可能用于光刻EUV光源的产生。国内首台光镜镀膜设备投用中科科仪旗下的中科科美也传来佳讯,其研制的直线式劳埃透镜镀膜装置及纳米聚焦镜镀膜装置于2021年6月28日正式投入使用。据了解,中科科仪推出的镜镀膜装置可满足大多数物理镜头对膜层制备的工艺需求。诸如聚焦镜、单色镜、劳埃镜、纳米聚焦镜以及用于EUV光刻机当中的光镜头。与DUV不同,EUV用的是13.5nm的光波长,无法透过目前用的透镜材料,因此EUV系统为全反射。包括EUV的光罩(掩模)也是用反射结构。由于EUV光刻镜头是面向更高制程、更多数量的硅基晶体管芯片,EUV光刻机对镜头镜面光洁度的要求极高,即镜面光洁度不得超过50皮米。中科科仪投用的真空镀膜设备能够将膜厚精度控制在0.1纳米(100皮米)以内,实现高精度纳米量级万层镀膜工艺,适用于光刻机镜头的制备,一定程度上能够降低国产设备厂商在光刻镜头项目中面临的压力,加速国产半导体厂商在光刻镜头项目中的进展。上海微系统所实现片上亚纳米量级的超灵敏位移传感近日,中国科学院上海微系统所信息功能与材料国家重点实验室硅光子课题组研究员武爱民团队、深圳大学教授袁小聪、杜路平团队及英国伦敦国王学院教授Anatoly V. Zayats课题组合作,在硅衬底上提出了基于布洛赫表面光场的非对称传输特性实现超灵敏位移测量的方法,并实现了亚纳米级的位移传感。光学手段为精密位移测量提供了非接触的方案,可实现高灵敏度、高分辨率的位移检测,在纳米尺度位移传感、半导体技术及量子技术等领域具有重要应用。EUV光刻机由于光刻制程先进,其对对准精度的要求也非常高,而该工作利用纳米尺度的狭缝实现了布洛赫表面波的非对称传输,通过连续改变光与狭缝的相对位置,在实验上实现了对于位移的精确测量,灵敏度可达0.12 nm⁻¹,分辨率和量程达到8 nm和300 nm。该研究为纳米测量及超分辨显微提供了新的物理原理,并为超灵敏的位移测量提供了精巧的微型化方案。华卓精科双工件台可用于65nm以下制程此前,由北京华卓精科科技股份有限公司和清华大学联合研发的首台国产干式光刻机双工件台产品完成测试,移机交付整机单位进入光刻机联合调试阶段。工件台是光刻机产品平台的核心主体,搭载不同曝光光学系统和光源可形成全系列光刻机。华卓精科官网显示,其光刻机双工件台打破了ASML公司在工件台上的技术垄断,成为世界上第二家掌握双工件台核心技术的公司。华卓精科作为我国在该领域的杰出代表企业,目前与清华大学的专业团队进行合作,共同研制出的双工件台,其技术水准完全可以与阿斯麦相提并论,实力不相上下,据了解,上海微电子制造的28nm光刻机,其中利用的就是华卓精科的双工件台。该双工件台的精度可以达到1.7nm,主要被应用在65nm以下的芯片制程,它的出现预示着我国在该领域技术的进步、打破西方国家的封锁,实现自主化生产。据业内媒体消息披露,上海微电子将于2022年前交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。而光刻机零部件的不断突破,为国产替代再填助力。“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,……虽是等于为帝王将相作家谱的所谓"正史",也往往掩不住他们的光耀,这就是中国的脊梁……”伴随着科研人员的“负重前行”,相信不久的将来必能继续传出好消息,完成半导体设备的拼图。扫描下方二维码,加入半导体行业交流群
  • 前六月进口近70亿元:光刻设备海关进口数据分析
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中光刻设备是其中的重中之重。但长期以来,我国的光刻机依赖进口严重。2022年,美国出台《芯片法案》限制向中国大陆出口半导体设备。据《华尔街日报》报道,日本和荷兰已加入美国,努力限制向中国出口先进芯片制造设备(详情:日本、荷兰和美国联合起来限制向中国出口芯片制造设备 )。2月15日,就美日荷限制向中国出口相关芯片制造设备,中国半导体行业协会发表声明(详情:就美日 荷 限制向中国出口相关芯片制造设备,中国半导体行业协会发表声明 )。6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束,限制中除了EUV光刻机,还包括了较为先进的DUV光刻机(详情:升级光刻机管制!荷兰发布先进半导体制造设备出口禁令)。此外,日本也限制了6类23种半导体制造设备出口,中国半导体行业协会发布严正声明表示,此次日本政府的出口管制措施将对全球半导体产业生态带来更大的不确定性(详情:中国半导体行业协会再发严正声明 )。日本和荷兰是光刻机的主要生产国家,上半年的种种措施出台为中国半导体产业增添了种种不确定性,为了解上半年光刻机的进口情况,仪器信息网特别对2023年1-6月,光刻机(商品编码84862031、84863031)进口数据进行了分析汇总,为大家了解中国目前光刻机市场做一个参考。需要注意的是,海关数据根据应用领域和原理将光刻机主要分为两类,包括制半导体器件或集成电路用的分步重复光刻机(84862031)和制造平板显示器用的分布重复光刻机(84863031)。2022-2023年1-6月光刻机进口额变化(单位:元)商品名称2022年2023年增幅制半导体器件或集成电路用的分步重复光刻机5245402082684285701030%制造平板显示器用的分布重复光刻机95803117664797902-93%2023年1-6月光刻机进口占比2023年1-6月进口额变化2022年1-6月进口额变化从近两年上半年的进口数据来看,制半导体器件或集成电路用的分步重复光刻机增长幅度较大,达到了30%的增幅,而用于制造平板显示器的分布重复光刻机出现了明显的暴跌,增幅为-93%,远低于去年同期。而从两类光刻机的占比来看,制半导体器件或集成电路用的分步重复光刻机明显占据主要市场份额。实际上,此前两类光刻机进口额接近(详情:前十月 进口额已达103亿元:光刻设备海关进口数据分析)。用于平板显示器的分布重复光刻机的进口额正经历着逐步暴跌的过程,这或许和国产替代有关。值得注意的是,今年上半年光刻设备进口额在六月份出现暴涨,单月进口额超34亿元,远高于去年同期和其他月。和去年上半年变化趋势相比,这一暴涨的变化趋势也颇为突兀明显。这可能和美日荷制裁升级有关,或因相关消息引发市场恐慌性抢购设备导致。制半导体器件或集成电路用的分步重复光刻机进口贸易伙伴分布制造平板显示器用的分布重复光刻机进口贸易伙伴分布制半导体器件或集成电路用的分步重复光刻机主要进口自荷兰和日本,其中荷兰进口额占比高达76.7%,日本占比21.9%。制造平板显示器用的分布重复光刻机不同于集成电路和半导体器件用光刻机,从其进口额分布可以看出,此类光刻机进口市场被日本企业牢牢把控。目前我国已成为世界平板显示器生产大国,拥有了一批巨头企业,市场广阔。制半导体器件或集成电路用的分步重复光刻机进口注册地分布制造平板显示器用的分布重复光刻机进口注册地分布那么这些光刻机主要销往何处?通过对进口数据的注册地进行分析发现,制半导体器件或集成电路用的分步重复光刻机主要“落脚地”是上海市、江苏省和北京市,这些地区也是我国经济较发达地区,近年来积极布局半导体产业,已经逐步形成了一批集成电路产业集群。而制造平板显示器用的分布重复光刻机主要“落脚地”是重庆市。据了解,在“十四五”期间,重庆将重点建设包括集成电路、新型显示、新型智能终端等在内的十类战略性新兴支柱产业。其中新型显示产业在重庆的十类战略性新兴支柱产业中排名第二,地位仅次于集成电路产业。近年来,重庆市新型显示产业政策持续出台,2017年达到峰值,为9条。2022年,重庆市共有2条相关政策公布。在当地政府支持下,近年来多个新型显示优质项目签约落地重庆,重庆正在通过显示面板领域重点项目投产和优质项目签约,进一步加快新型显示产线建设,让重庆新型显示产业的发展活力充沛。根据企查猫查询数据显示,近年来新型显示历年新注册企业数量稳定增长。截至2023年1月17日,重庆新型显示产业相关的注册企业超200家,其中2020年新注册企业数量创历史高峰,达43家,从新增企业数量来看,重庆市新型显示产业企业数量将继续保持上升态势。
  • 总预算3.5亿元!各高校11月发布的光刻设备采购意向盘点
    2022年9月13日,国务院常务会议决定对部分领域设备更新改造贷款阶段性财政贴息和加大社会服务业信贷支持,政策面向高校、职业院校、医院、中小微企业等九大领域的设备购置和更新改造。贷款总体规模预估为1.7万亿元。2022年9月28日,财政部、发改委、人民银行、审计署、银保监会五部门联合下发《关于加快部分领域设备更新改造贷款财政贴息工作的通知》(财金〔2022〕99号),对2022年12月31日前新增的10个领域设备更新改造贷款贴息2.5个百分点,期限2年,额度2000亿元以上。因此今年第四季度内更新改造设备的贷款主体实际贷款成本不高于0.7%(加上此前中央财政贴息2.5个百分点)。这两大重磅政策提供极低利息的贷款给消费端提前进行设备购置和更新改造,推动我国仪器市场迎来新一波仪器采购大潮。仪器信息网注意到,11月份以来,各大高校发布了众多半导体设备采购意向。仪器信息网特汇总统计了光刻设备相关的采购意向,含激光直写设备和电子束曝光机,总预算约3.5亿元。11月发布的光刻设备相关的采购意向汇总序号项目名称预算金额(万元)采购单位预计采购时间意向原文1TX-深紫外光刻机(DUV)4200华中科技大学Dec-22意向原文2电子束光刻机3000清华大学Dec-22意向原文33D电子束光刻设备2800武汉大学Dec-22意向原文4电子直写设备2500复旦大学Dec-22意向原文5电子直写设备1800复旦大学Dec-22意向原文6TX-电子束曝光(EBL)1800华中科技大学Dec-22意向原文7电子束曝光系统1400浙江大学Dec-22意向原文8集成电路学院电子束曝光机采购1400中山大学Dec-22意向原文9100kV电子束曝光机1300浙江大学Dec-22意向原文10电子束曝光系统1280上海交通大学Dec-22意向原文11100kV电子束曝光机1150浙江大学Dec-22意向原文12电子束扫描直写系统910南开大学Dec-22意向原文13高精度电子束曝光机870北京师范大学Dec-22意向原文14双光子灰度对准光刻机690天津大学Dec-22意向原文15高性能激光直写系统650上海交通大学Dec-22意向原文16TX-高精度无掩膜光刻机500华中科技大学Dec-22意向原文17激光直写光刻系统500山东大学Jan-23意向原文18TX-对准光刻与晶圆键合机490华中科技大学Dec-22意向原文19双光子三维光刻系统480复旦大学Dec-22意向原文20激光直写系统420南开大学Dec-22意向原文21电子束光刻系统400武汉大学Dec-22意向原文22激光直写光刻机400浙江大学Dec-22意向原文23激光直写设备400重庆大学Dec-22意向原文24高分辨掩膜光刻机采购390西南大学Dec-22意向原文25光刻机360吉林大学Dec-22意向原文26电子束曝光系统350大连理工大学Nov-22意向原文27光刻机350清华大学Dec-22意向原文28无掩膜曝光机350清华大学Dec-22意向原文29三维微打印光刻系统340上海交通大学Dec-22意向原文30接触式紫外光刻机320清华大学Dec-22意向原文31无掩膜光刻机320天津大学Dec-22意向原文32集成电路科学与工程学院8英寸高精度光刻机284北京航空航天大学Dec-22意向原文33超高精密微立体光刻加工系统279厦门大学Dec-22意向原文34掩模版对准光刻系统270上海交通大学Dec-22意向原文35光刻机235大连理工大学Nov-22意向原文36大连理工大学面投影微立体光刻技术微尺度3D打印机采购(贷款项目)200大连理工大学Nov-22意向原文37紫外掩膜光刻机系统199大连理工大学Nov-22意向原文38光刻机199清华大学Dec-22意向原文39台式微纳结构高速直写系统180华东师范大学Nov-22意向原文40规模化集成电路无掩膜激光直写系统175北京科技大学Nov-22意向原文41激光直写光刻机系统175大连理工大学Nov-22意向原文42电子束曝光机维保160中国科学院微电子研究所Nov-22意向原文43北京理工大学激光直写设备采购145北京理工大学Dec-22意向原文44高精度无掩模纳米光刻微加工系统145浙江大学Dec-22意向原文45中国科学院大学集成电路学院计算光刻软件采购项目140中国科学院大学Nov-22意向原文 本次采购共含45项相关采购意向,其中16项为电子束曝光机。其中大部分采购定于12月份。采购预算最高的是深紫外DUV光刻机,价值4200万元,其次为电子束曝光机,价值3000万元。通知:免费学习+直播抽奖|第三届“半导体材料、器件研究与应用”网络会议即将召开为加速国内半导体材料及器件发展,促进国内半导体材料与器件领域的人员互动交流,推动我国半导体行业的高质量发展。仪器信息网联合电子工业出版社将于2022年12月20-22日举办第三届“半导体材料与器件研究及应用”主题网络研讨会,围绕光电材料与器件、第三代半导体材料与器件、传感器与MEMS、半导体产业配套原材料等热点议题,为国内广大半导体材料与器件研究、应用及检测的相关工作者提供一个突破时间地域限制的免费学习平台,让大家足不出户便能聆听到相关专家的精彩报告。为回馈线上参会网的支持,增进会议线上交流互动,会务组决定在会议期间增设多轮抽奖环节,欢迎大家报名参会。同时,只要报名参会并将会议官网分享微信朋友圈积赞30个可以获得《2021年度科学仪器行业发展报告》(独家首发)一本,报名参会进群还将获得半导体相关学习电子资料压缩包一份。会议同期,还有部分赞助厂商将抽取幸运观众,邮寄企业周边产品。本次会议免费参会,参会报名请点击会议官网:https://insevent.instrument.com.cn/t/Mia (内容更新中)或扫描二维码报名
  • 恭喜!冠石半导体,首台,光刻设备成功入场
    据宁波前湾新区管理委员会7月15日消息,近日宁波冠石半导体有限公司迎来关键节点,引入首台电子束掩模版光刻机。据悉,该设备是光掩模版40nm技术节点量产及28nm技术节点研发的重点设备。近日,宁波冠石半导体有限公司迎来关键节点,企业引入首台电子束掩模版光刻机。据悉,该设备是光掩模版40纳米技术节点量产及28纳米技术节点研发的重点设备。宁波冠石半导体公司是一家专业从事半导体光掩模版制造的企业,企业主要从事45-28nm半导体光掩模版的规模化生产。光掩模版是微电子制造中光刻工艺所使用的图形转移工具或母版,其功能类似于相机的“底片”。作为半导体产业链上游重要的原材料之一,光掩模版是承载图形设计和工艺技术等知识产权信息的载体。目前,我国高精度半导体光掩模版产品主要仍依赖于进口,国产化率极低。从2023年5月16日落笔签约,同年10月1日落地开工,2024年1月27日落成结顶,到如今设备陆续进场,搭乘营商环境优化提升“一号改革工程”的“东风”,宁波冠石半导体公司建设按下“快进键”,再次刷新前湾新区数字经济产业的新速度。门槛高、壁垒厚、而需求旺,光掩模版产业发展空间价值凸显,在广阔的国内外市场大有可为。当前,冠石一期洁净车间设计产能为月产5000片180纳米至28纳米集成电路掩模版。据冠石相关负责人介绍,企业正加速推进海外布局战略,并在世界一流半导体光掩模版制造技术班底的加持下,预计今年底,企业将陆续实现为国内外中高端集成电路掩模版提供制版服务。光掩模版制造是数字经济产业的关键技术,是急需补上的“卡脖子”产业,也是弯道超车、换道超车的重要赛道。宁波冠石半导体是新区补齐半导体产业链的重要一环。企业建成投产后将成为国内技术能力先进的独立光掩模版生产企业,可填补国内高阶制程光罩空白,打破国外高端光掩模版的垄断局面,提高我国半导体光掩模产业的安全和可控性。产业高质量发展,要做到“新”中有“数”。为此,前湾新区大力招引冠石在内的数字经济重点项目,攻克芯片、半导体等一批关键核心技术,构建以企业为主体的技术创新体系。近年来,前湾新区聚焦数字经济产业,引进相关项目超60个,总投资超300亿元,聚集了一大批数字经济龙头企业,初步培育形成集成电路、智能终端、汽车电子、软件设计、关键传感器等数字经济产业链。为进一步优化数字产业发展生态环境,前湾新区还组建20亿元的数字经济产业发展基金,专门投向电子信息制造、工业互联网、5G+、人工智能等产业方向,助力数字经济产业加快发展。
  • 2024-Q1,全球光刻设备出货量大跌!
    半导体光刻机的出货量,一定程度上比较准确地反映了全球半导体产能的扩张情况。最近,ASML、NIKON和CANON三家都公布了今年Q1的设备出货量。整理后发现,最新季度中全球光刻设备的出货无论是环比还是同比都出现了明显下降。话不多说,上图表:以下是分类数据的统计:1)EUV设备的供应商只有ASML一家。从下图上看,如果忽略2023年Q1的短暂暴增,其实最近两年的出货数据变化不大。说明手机和AI算力芯片的带动下,高端工艺的产能扩张情况还算比较稳定2)DUV的设备出货量明显下降首先,浸入式ArF光刻设备的供应商是ASML和NIKON两家。从下表的数据上看,两家的出货量均有大幅衰减其次是干式ArF设备。目前看来跌幅较大的是ASML。不过这类设备总体出货量不大,对总体的影响很小然后是KrF设备。这种设备三家都有供货。不过从数据上看,只有ASML一家数据是下降的。CANON在这一领域的市占率也不算小,但看起来出货量上没有什么明显变化3)i-Line设备三家都有供货,而且从数量上看CANON是第一大供应商。目前这个领域出货量同比是增加的。忽略去年Q4的异常暴增数据影响,看起来走势还是向好的简单总结所以,总体看来出货量下降的主要原因来自ASML。除了它的EUV设备变化不大,其它各种类型光刻设备的出货数据在Q1都有明显下降从ASML营收的区域分布来看,中国大陆在Q1依旧是最大买家。降幅主要来自中国台湾地区和韩国。尤其是台湾地区,Q1采购量跌到10年前的水平看起来,以TSMC为首的台系晶圆厂在最近一段时间的产能扩张都极其保守。这对于其它头部设备供应商而言都可能会有不小的负面影响
  • 191万!汕头大学计划采购数字掩模光刻机等设备
    一、项目基本情况项目编号:GPCGD231156HG009J项目名称:汕头大学物理系先进光学与光子学研究中心设备采购项目采购方式:公开招标预算金额:1,918,000.00元采购需求:合同包1(数字掩模光刻机):合同包预算金额:920,000.00元品目号品目名称采购标的数量(单位)技术规格、参数及要求品目预算(元)1-1教学仪器数字掩模光刻机1(套)详见采购文件920,000.00本合同包不接受联合体投标合同履行期限:合同签订后30天内交付使用。合同包2(服务器):合同包预算金额:998,000.00元品目号品目名称采购标的数量(单位)技术规格、参数及要求品目预算(元)2-1服务器双路高性能服务器2(台)详见采购文件499,000.002-2服务器四路高性能服务器1(台)详见采购文件499,000.00本合同包不接受联合体投标合同履行期限:合同签订后30天内交付使用。二、申请人的资格要求:1.投标供应商应具备《政府采购法》第二十二条规定的条件,提供下列材料:1)具有独立承担民事责任的能力:在中华人民共和国境内注册的法人或其他组织或自然人, 投标(响应)时提交有效的营业执照(或事业法人登记证或身份证等相关证明) 副本复印件。分支机构投标的,须提供总公司和分公司营业执照副本复印件,总公司出具给分支机构的授权书。2)有依法缴纳税收和社会保障资金的良好记录:提供投标截止日前6个月内任意1个月依法缴纳税收和社会保障资金的相关材料。 如依法免税或不需要缴纳社会保障资金的, 提供相应证明材料。3)具有良好的商业信誉和健全的财务会计制度:供应商必须具有良好的商业信誉和健全的财务会计制度(提供2021年度财务状况报告或基本开户行出具的资信证明) 。4)履行合同所必需的设备和专业技术能力:按投标(响应)文件格式填报设备及专业技术能力情况。5)参加采购活动前3年内,在经营活动中没有重大违法记录:参照投标(报价)函相关承诺格式内容。 重大违法记录,是指供应商因违法经营受到刑事处罚或者责令停产停业、吊销许可证或者执照、较大数额罚款等行政处罚。(根据财库〔2022〕3号文,“较大数额罚款”认定为200万元以上的罚款,法律、行政法规以及国务院有关部门明确规定相关领域“较大数额罚款”标准高于200万元的,从其规定)2.落实政府采购政策需满足的资格要求:合同包1(数字掩模光刻机)落实政府采购政策需满足的资格要求如下: 包组1专门面向中小企业采购。供应商提供的所有产品须全部由中小企业生产且使用该中小企业商号或注册商标。中小企业须符合本项目采购标的对应行业(工业)的政策划分标准。监狱企业、残疾人福利单位视同小型、微型企业。注:中小企业应填写的《中小企业声明函》(见投标格式)为判定标准,残疾人福利性单位填写的《残疾人福利性单位声明函》(见投标格式)为判定标准,监狱企业须供应商提供由省级以上监狱管理局、戒毒管理局(含新疆生产建设兵团)出具的属于监狱企业的证明文件,否则不予认定。3.本项目的特定资格要求:合同包1(数字掩模光刻机)特定资格要求如下:(1)供应商未被列入“信用中国”网站(www.creditchina.gov.cn)“记录失信被执行人或重大税收违法失信主体或政府采购严重违法失信行为记录名单”;不处于中国政府采购网(www.ccgp.gov.cn)“政府采购严重违法失信行为信息记录”中的禁止参加政府采购活动期间。(以集中采购机构于投标截止日当天在“信用中国”网站(www.creditchina.gov.cn)及中国政府采购网(http://www.ccgp.gov.cn/)查询结果为准,如相关失信记录已失效,报价人需提供相关证明资料)。(2)单位负责人为同一人或者存在直接控股、 管理关系的不同供应商,不得同时参加本采购项目(或采购包) 投标(响应)。 为本项目提供整体设计、 规范编制或者项目管理、 监理、 检测等服务的供应商, 不得再参与本项目投标(响应)。 投标(报价) 函相关承诺要求内容。(3)本项目不接受联合体投标,不接受合同分包。合同包2(服务器)特定资格要求如下:(1)供应商未被列入“信用中国”网站(www.creditchina.gov.cn)“记录失信被执行人或重大税收违法失信主体或政府采购严重违法失信行为记录名单”;不处于中国政府采购网(www.ccgp.gov.cn)“政府采购严重违法失信行为信息记录”中的禁止参加政府采购活动期间。(以集中采购机构于投标截止日当天在“信用中国”网站(www.creditchina.gov.cn)及中国政府采购网(http://www.ccgp.gov.cn/)查询结果为准,如相关失信记录已失效,报价人需提供相关证明资料)。(2)单位负责人为同一人或者存在直接控股、 管理关系的不同供应商,不得同时参加本采购项目(或采购包) 投标(响应)。 为本项目提供整体设计、 规范编制或者项目管理、 监理、 检测等服务的供应商, 不得再参与本项目投标(响应)。 投标(报价) 函相关承诺要求内容。(3)本项目不接受联合体投标,不接受合同分包。三、获取招标文件时间: 2023年02月10日 至 2023年02月17日 ,每天上午 00:00:00 至 12:00:00 ,下午 12:00:00 至 23:59:59 (北京时间,法定节假日除外)地点:广东省政府采购网https://gdgpo.czt.gd.gov.cn/方式:在线获取售价: 免费获取四、提交投标文件截止时间、开标时间和地点2023年03月06日 09时30分00秒 (北京时间)递交文件地点:广州市越华路112号珠江国际大厦 307室(投标文件在线提交,网上直播开标)开标地点:广州市越华路112号珠江国际大厦 307室(投标文件在线提交,网上直播开标)五、公告期限自本公告发布之日起5个工作日。六、其他补充事宜1.本项目采用电子系统进行招投标,请在投标前详细阅读供应商操作手册,手册获取网址:https://gdgpo.czt.gd.gov.cn/help/transaction/download.html。投标供应商在使用过程中遇到涉及系统使用的问题,可通过020-88696588 进行咨询或通过广东政府采购智慧云平台运维服务说明中提供的其他服务方式获取帮助。2.供应商参加本项目投标,需要提前办理CA和电子签章,办理方式和注意事项详见供应商操作手册与CA办理指南,指南获取地址:https://gdgpo.czt.gd.gov.cn/help/problem/。3.如需缴纳保证金,供应商可通过"广东政府采购智慧云平台金融服务中心"(http://gdgpo.czt.gd.gov.cn/zcdservice/zcd/guangdong/),申请办理投标(响应)担保函、保险(保证)保函。4.需要落实的政府采购政策:《政府采购促进中小企业发展管理办法》(财库〔2020〕46号)、《关于政府采购支持监狱企业发展有关问题的通知》(财库〔2014〕68号)、《关于促进残疾人就业政府采购政策的通知》(财库〔2017〕141号)、《关于调整优化节能产品、环境标志产品政府采购执行机制的通知》(财库〔2019〕9号)等。本项目为货物类项目,其中小企业划分标准所属行业为:工业。5.云平台操作过程中如有相关问题可通过广东省政府采购网(http://gdgpc.czt.gd.gov.cn)下载操作手册查询,或通过云平台公布的在线客服、微信/QQ群、专线电话等方式咨询。6.请投标/报价人按“远程开标”有关要求,在投标/报价截止时间前上传加密的电子投标/报价文件,未按要求上传的将视为自动放弃投标/报价。7.在开标/唱价截止时间前,请各投标/报价人核实并确认填写授权代表的姓名与手机号码,若因填写的授权代表信息有误而导致的不良后果,由供应商自行承担。8.开标/唱价时,供应商应当使用编制本项目(采购包)电子投标/报价文件时加密所用数字证书开始解密,解密时限为主持人开启远程解密起30分钟内完成。各供应商在参加开标/唱价之前须自行对使用电脑的网络环境、驱动安装、客户端安装以及数字证书的有效性等进行检测,确保可以正常使用。七、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:汕头大学地 址:汕头市大学路243号联系方式:0754-865029882.采购代理机构信息名 称:广东省政府采购中心地 址:广东省广州市越秀区越华路112号珠江国际大厦3楼联系方式:020-83186823(邮箱:xiey @gd.gov.cn)3.项目联系方式项目联系人:谢洋电 话:020-83186823(邮箱:xiey @gd.gov.cn)广东省政府采购中心2023年02月10日
  • 利用传统光刻设备制备柔性可降解电子器件!
    光刻技术是在硅基工业中的一种关键且成熟的技术,它可以精密地定义与制备小尺度的微电子器件。然而,要将光刻技术应用于柔性电子器件的实现,柔性可降解基底对光刻过程中要用到的有机溶剂、高温以及紫外光的敏感性是它面临的核心挑战。很多时候,由于柔性层表面的粗糙性、剥落、不均匀性以及气泡等问题,器件难以实现预期性能。因此,为了保护光刻过程中脆弱的柔性可降解基底,来自土耳其伊斯坦布尔的科克大学的研究人员提出可以利用一层额外的无机薄膜层来隔绝柔性基底与表面光刻工艺的各种操作。相关论文以题为“Photolithography-Based Microfabrication of Biodegradable Flexible and Stretchable Sensors”发表在Advanced Materials上。研究人员通过优化的微纳加工工艺流程实现了具有高性能、一致性、可拉伸性以及稳定性的柔性生物可降解的电子器件。图1a和1b展示了在一个指尖大小(1 cm2)的柔性PLA贴片上包含了1600个IDE电容器,它们的器件均一性达到了3.08±3.89*10-3 pF。同时,多种其他类型的电子器件如电极、电阻、电感以及平行板电容器也可以实现小型化与可拉伸性(图1c)。图1d展示了在一个已经发生部分降解的PGS基底上制备的IDE电容器。制备这种柔性可降解电子器件工艺的关键策略在于隔离在硅衬底上的柔性可降解基底。基本的制备步骤为(图1e):i)在硅衬底上增加牺牲层图层;ii)依次沉积柔性可降解聚合物基底层、保护层、黏附层以及金属层;iii)金属层图案化。其中,值得关注的是:(1)牺牲层采用水溶性的右旋糖苷(Dextran),以确保在工艺完成后整块薄膜可以从硅衬底上剥离;(2)利用旋涂15 %(w/w)的PLA溶液(氯仿作为溶剂)加软烘脱气泡形成PLA柔心可降解基底;(3)锗(Ge)则利用物理气相沉积(PVD)在PLA表面被形成保护层,CVD不被选用的原因是会对PLA薄膜基底表面产生明显损伤。图1. 基于光刻工艺,在柔性可降解基底上制备可拉伸与小型化图案。(a) 在1cm2面积上包含有1600个器件的柔性贴片 (b) IDE电容阵列的共聚焦显微镜图像。插图:放大后的IDE电容器显微图像。比例尺:500 um(右)和200 um(左);(c) 在硅衬底上的聚乳酸基底上制备的各种器件照片。比例尺:1 cm; (d)放在PBS中,已经发生部分降解的PGS基底上的IDE器件 (e) 基于剥离法和反应离子刻蚀法(RIE)进行的工艺流程图。基于所提出的光刻制备柔性可降解器件的工艺,研究人员展示了器件良好的柔性(图2a)、优良的可降解性(图2b)以及使用其他材料的可拓展性(图2c-2d)。同时,对器件的均一性控制(图3a - 3c)以及器件不同尺寸的可定制性(图3d-3f)也做了响应的制备实验与表征。最后,为了展示该工艺在柔性可降解传感器制备中的应用潜力,该工作为我们展示了利用光刻工艺制作的电容式压力传感器以及葡萄糖电化学传感器并分别进行了测试。图2. 在柔性可拉伸基底上微纳制造可降解器件。(a) 带有电阻器件的柔性PLA贴片被环绕在一个直径1cm的玻璃棒上 (b) 在PDB溶液中浸泡时(1 M,室温下PH≈12),PLA基底上的钼(Mo)器件图案逐渐消失 (c) PGS柔性基底上的螺旋Mo器件 (d) 可拉伸器件在PBS溶液中降解的光学图像。图3. PLA基底上IDE电容阵列的表征。 (a) 8*8阵列的光学图像 (b) 每个IDE电容器在不同频率下的测试表现,插图显示了该阵列电容的数值分布 (c) 电容均一性展示图;(d) 4个不同宽度和间隙的微加工IDE电容器器件显微图像 (e) 高度小型化的IDE电容器件的SEM表征 (f) 不同尺寸IED电容器件在不同频率下的测试表现。图4. 可拉伸柔性基底上的微纳制备的可降解应变与化学传感器。 (a)光学和SEM图像 (b) 器件结构示意图 (c) 器件在不同频率下的响应特性测试 (d) 化学传感器的光学图像 (e) 化学传感器的性能测试 (f) 不同浓度被测物与传感器的电流响应。总的来说,该研究为我们展示了一种基于传统光刻工艺的制造柔性可降解电子器件的新方法。它尝试解决了光刻工艺中有机溶剂、紫外光和高温等操作对柔性可降解基底的损伤问题,并取得了较好的器件均一性。由于利用了硅基工业上已经很成熟且普及的光刻设备,它在批量制造上具有明显优势。同时,光刻工艺的小尺度加工的优点也被带入柔性电子器件的制备中,实现了小尺度器件的精细制造。但是,目前该研究工作中的电子器件还未涉及半导体材料,因此还有待进一步的发展与思考。
  • 前十月进口额已达103亿元:光刻设备海关进口数据分析
    自美国提出终断该国企业与华为多年的芯片供应以来,研制中国自己的国产芯片提上了我国的发展日程,也是当前中国市场最为紧迫的一项技术,关于芯片技术发展的讨论不仅在专业领域盛行,也成为了普通民众议论的焦点所在。而芯片的制造离不开半导体设备,其中光刻设备是其中的重中之重。但长期以来,我国的光刻机依赖进口严重。2021年是“十四五”开局之年,中国政府也推出了一系列激励政策来鼓励半导体产业发展,明确了半导体产业在产业升级中的重要地位,同时全球自2020年爆发的“芯片荒”在全球范围内愈演愈烈,却迟迟得不到缓解,各行各业都受到了一定的影响,受此影响包括仪器产业、新能源产业等在内的诸多产业都面临产品涨价、缺货的危机。危中有机,全球半导体行业的巨震却是中国半导体产业的发展契机。通过分析海关步进式光刻机的进口情况,可以从一个侧面反映出中国步进式光刻机市场的一些情况,进而了解到中国半导体产业的一些情况。为了解过去2021年中光刻机的进出口情况,仪器信息网特别对2021年1-10月,光刻机(商品编码84862031、84863031)进出口数据进行了分析汇总,为大家了解中国目前光刻机市场做一个参考。需要注意的是,海关数据根据应用领域和原理将光刻机主要分为两类,包括制半导体器件或集成电路用的分步重复光刻机(84862031)和制造平板显示器用的分布重复光刻机(84863031)。2021年1-10月进口光刻设备贸易伙伴变化(人民币/万元)贸易伙伴进口额(元)进口台数日本6632433558323荷兰338018337954中国台湾503477816韩国13380990821美国9054011711德国675960913奥地利73409695瑞士47387851英国12967001斯洛文尼亚7982731法国79688932021年1-10月进口贸易伙伴进口额(人民币/元)2021年1-10月,中国进口光刻设备总额约103亿元,其中日本进口金额最多达66亿元,台数达323台。可以看出,虽然从日本进口的光刻机金额较多,但其均价远低于从荷兰进口的设备均价,这表明低端光刻机仍是进口主流,且多从日本进口。值得注意的是,此前【进口金额155亿元,进口数量增长42%!】统计过的数据显示,2020年进口光刻机以荷兰进口为主,这其中的变化趋势可能与贸易制裁有关,2018年中芯国际曾向ASML订购了一台价值1.2亿美元的EUV光刻机,在美国的阻挠下,至今仍未到货,或许影响了相关企业对其光刻机的采购,从光刻设备的贸易伙伴变化趋势可以看出,前两名只有日本和荷兰在竞争,而日本的光刻机进口额逐渐脱颖而出。2021年1-10月不同类光刻设备进口数据(人民币/万元)从进口设备金额可以看出,在5月份,制半导体器件或集成电路用的分步重复光刻机(84862031)的进口额出现一个高峰。这可能是由于从荷兰进口设备金额增加,荷兰产光刻机主要是高端产品,单价较高,对进口额的变化影响较大,而且目前荷兰的高端光刻机的不可替代性较强,但在低端产品上目前企业正逐渐寻求替代。整体来看,两类光刻机需求量接近,目前除了用于半导体器件和集成电路的光刻机外,用于平板显示器的光刻机也是一大市场。制造平板显示器用的分布重复光刻机不同于集成电路和半导体器件用光刻机,从其进口额分布可以看出,此类光刻机市场被日本企业牢牢把控。目前我国已成为世界平板显示器生产大国,拥有了一批巨头企业,但目前该领域的设备仍大量依靠进口,未来不排除受到美国制裁的可能性,须早做准备和布局。1-10月光刻机进口注册地变化图(单位:人民币/万元)那么这些光刻机主要销往何处?通过对进口数据的注册地进行分析发现,重庆市、湖南省、江苏省、四川省和安徽省进口额最多,这表明这些地区在新建生产线上投入较大,对光刻机需求也在激增。以重庆市为例,重庆在此前发布了半导体产业发展报告,对未来五年有一个明确的发展方案,根据规划,到2022年,重庆集成电路在功率半导体、存储芯片等领域将进入全国前列;新型显示领域加快突破超高清、大尺寸套切等技术和工艺的研发应用。2022年,重庆半导体全产业争取实现产值650亿元(集成电路350亿元;新型显示产业300亿元)。
  • 某国产光刻胶产业化项目拟投资实施,购置仪器设备520 余台(套)
    12月16日,富湖北鼎龙控股股份有限公司(以下简称“鼎龙股份”)发布公告,为助力推动半导体 KrF/ArF 光刻胶的国产替代进程,同时进一步丰富公司业务板块,加速实现公司进口替代“创新材料平台型企业”的战略发展目标,公司全资子公司鼎龙(潜江)新材料有限公司(以下简称“潜江新材料”)布局 KrF/ArF光刻胶。鼎龙股份于 2023年12月22日召开第五届董事会第十四次会议,审议通过了《关于全资子公司实施增资扩股并与员工持股平台共同投资建设年产300吨 KrF/ArF 光刻胶产业化项目的议案》,同意公司对潜江新材料实施增资并以增资扩股方式引入两家员工持股平台及一家新进投资方共同投资建设年产 300 吨KrF/ArF 光刻胶产业化项目。本次 KrF/ArF 光刻胶项目拟投资实施的主要内容1、项目名称:年产300吨KrF/ArF光刻胶产业化项目2、实施地点:湖北省潜江市江汉盐化工业园长飞大道 1 号3、实施主体:鼎龙(潜江)新材料有限公司4、资金来源:公司自有或自筹资金5、建设内容及规模:新建主体生产厂房、动力中心、中控室、仓库、罐区等建筑面积 16,620.94 平方米,购置反应釜、混配釜、储液罐、离心机、质谱仪等仪器设备 520 余台(套),配套建设安全环保设施。项目投产后形成年产 300吨 KrF/ArF 光刻胶的生产能力。6、项目投资估算:本项目预计总投资额为80,395.30万元,总投资计划包括:产业化工程建设投资、研发及检测设备购置及安装费用、铺底流动资金等,预计年产产能为300吨,最终项目投资总额及产业化规模以实际投资为准。本次投资审议有1.5亿元注册资本,后续投资资金的缺口将由潜江新材料自筹解决。半导体光刻胶是由感光树脂、增感剂和溶剂三种主要成分组成的对光敏感的混合液体,半导体光刻胶按照光刻波长分为紫外光谱、g线(436nm)、i线(365nm)、KrF(248nm)、ArF(193nm)、EUV(13.5nm)。半导体光刻胶是半导体光刻工艺中的关键材料,光刻胶及其配套试剂在晶圆制造材料成本中占比超过10%,半导体光刻胶的分辨率、对比度、感光速度等技术指标和质量一致性,直接影响到集成电路的性能、良品率、可靠性以及生产效率,其技术壁垒极高,因此被誉为半导体材料“皇冠上的明珠”。根据 TECHET 数据,2021 年全球半导体光刻胶市场中,合成橡胶(JSR)、东京应化(TOK)、信越、杜邦、住友化学、富士等企业占据的市场份额合计超过 90%,用于先进工艺的 KrF、ArF、EUV 光刻胶基本由该等外资厂商垄断。相比之下,中国光刻胶行业发展起步较晚,国产光刻胶主要用于平板显示、印刷电路板等领域,用于晶圆制造、先进封装的半导体光刻胶严重依赖进口。近年来,经过国内半导体光刻胶厂商的不懈努力,国内厂商已实现 g/i 线光刻胶的量产,但在更为先进的 KrF、ArF、EUV 光刻胶领域尚未实现大规模量产。在国际市场技术封锁、国内半导体产业加速发展的大背景下,KrF、ArF 光刻胶因其覆盖了从 0.25µm 到 7nm 的主要半导体先进制造工艺,是现阶段迫切需要实现国产化技术突破的半导体关键材料。因此,尽快实现高端光刻胶材料的国产化、产业化具有十分重要的战略意义和经济价值。经过多年快速发展,鼎龙股份已在半导体材料业务方面取得了显著成绩,积累了深厚的市场资源和客户基础。其中:半导体 CMP 制程工艺材料国产供应渗透程度稳步加深、半导体显示材料进入加速放量阶段、半导体先进封装材料产品开发、验证及量产导入工作按计划快速推进,半导体材料业务的快速发展带动了公司的业绩增长。为实现业务的可持续发展和利润的可持续增长,公司在保持现有业务稳健成长的同时,积极布局高端光刻胶赛道,努力打造新的盈利增长点。该项目的实施,将进一步丰富鼎龙股份的业务板块、步入高端光刻胶关键赛道,有利于公司进一步优化半导体材料业务的产品结构、扩大市场份额、新增利润增长点。
  • 因关键检测设备采购周期延长,南大光电光刻胶项目延期
    南大广电日前发表公告称,公司募投项目“光刻胶项目”(以下简称“项目”)总投资额为66,000.00万元,计划使用募集资金15,000.00万元,原计划于2021年12月31日完成建设。项目实施主体为公司控股子公司宁波南大光电材料股份有限公司(以下简称“宁波南大光电”)。但南大光电在公告中指出,项目在实际投入过程中受到新冠疫情、客户验证需求变化、公司实际经营情况等多重因素的影响,尤其是项目所需的缺陷检测等关键设备采购周期延长,安装、调试工作也相应后移,导致该项目建设进度不及预期。公司在保持募集资金投资项目的实施主体、投资总额和资金用途等均不发生变化的情况下,根据募集资金投资项目当前的实际建设进度,计划将该项目的建设完成期限由原计划2021年12月31日延长至2022年12月31日。公司将继续通过统筹协调全力推进,力争早日完成该项目建设。他们同时强调,截至2021年12月31日,项目已累计投入45,316.28万元,全部由公司自有资金出资。宁波南大光电在2021年具体实施项目时,为满足项目建设所需采购的付款进度需要,优先使用了自有资金投入建设。本项目剩余资金缺口,公司将继续使用募集资金15,000.00万元满足其投资需求。根据公司与宁波南大光电的约定,上述募集资金使用将通过向宁波南大光电提供借款的方式进行,同时为了防止出现宁波南大光电以明显偏低成本占用上市公司资金从而损害投资者利益,还约定了该等借款将参考届时银行同期贷款利率计算利息。公告同时指出,公司扩建2,000吨/年三氟化氮生产装置项目按原计划继续进行,建设期不变。公告表示,本次募投项目的延期,是公司充分考虑了项目建设进度的实际情况做出的审慎决定。该事项仅涉及项目建设进度变化,未调整募投项目的实施主体、投资总额和资金用途,不存在改变或变相改变募集资金投向和其他损害股东利益的情形。本次公司募投项目的延期,不会对公司当前的生产经营造成重大影响。由于在项目后续具体建设过程中,仍可能存在各种不可预见因素,敬请广大投资者注意投资风险。在日前接受投资者提问的时候,南大广电表示,公司目前已经建成了两条arf光刻胶生产线,合计产能为25顿。而公司的arf光刻胶也有少量发货。南大光电“02专项”项目前程提要在2018年,南大光电曾发表关于实施国家“02专项”ArF光刻胶产品的开发 与产业化的可行性研究报告。报告指出,江苏南大光电材料股份有限公司(以下简称“南大光电”、“公司”、 “本公司”)成立于2000年12月,注册资本27,346.88万元,为全球MO源主要供应商之一。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。作为全球MO源的主要供应商,产品在满足国内需求时,已远销日本、台湾,韩国、欧洲和美国。公司获得了ISO9001质量认证体系、ISO14001环境认证体系及OHSAS18001职业健康体系的认证。公司2012年8月7日在深圳证券交易所创业板成功上市。公司目前拥有MO源、电子特气、光刻胶三大业务板块,努力成为国际一流的MO源供应商、国内领先的电子特气供应商和国内技术最领先的光刻胶供应商并力争在五到十年内发展成为国际上优秀的电子材料生产企业。而公司拟投资65,557万元实施“193nm(ArF)光刻胶材料开发和产业化”项目,项目实施主体宁波南大光电材料有限公司是本公司的全资子公司。按照他们所说,193nm(ArF)光刻胶和MO源都属于高纯电子材料,在生产工艺、分析测试等方面有一定的相似性,公司现有的很多生产技术和管理经验可以直接应用到此项目中。南大光电经过多年的技术积累及创新,已经拥有完全自主知识产权的MO源独特生产技术。在产品的合成、纯化、分析、封装、储运及安全操作等方面均已经达到国际先进水平。同时,为了此次项目的开发,南大光电已完成1500平方米研发中心的建设工作。根据规划,公司将通过3年的建设、投产及实现销售,达到年产25吨193nm(ArF干式和浸没式)光刻胶产品的生产规模。产品满足集成电路行业需求标准,同时建成先进光刻胶分析测试中心和高分辨率光刻胶研发中心,为公司新的高端光刻胶产品的研发和产业化提供技术保障。目前本项目的主要建设内容为生产车间、分析测试中心、研发中心、仓库、水电、道路等配套设施的建设。他们在报告中指出,作为集成电路制造最为关键的基础材料之一——高档光刻胶材料(如:ArF光刻胶),几乎完全依赖于进口。这种局面已经严重制约了我国集成电路产业的自主发展。更有甚者,我国集成电路工业使用的高档光刻胶中,80%以上都是从日本一个国家进口(剩余的部分从美国进口)。这样垄断式的依赖格局使得中国集成电路产业在我国发生严重自然灾害、政治冲突、商业冲突或军事冲突时受到严重的负面影响。从产品性质方面分析,相较于可以长时间保存(3年左右,甚至更长)的大硅片和先进制造设备, 高档光刻胶的保质期很短(6个月左右,甚至更短)。一旦遇到上述的自然灾害或冲突,我国集成电路产业势必面临芯片企业短期内全面停产的严重局面。因此,尽快实现全面国产化和产业化高档光刻胶材料具有十分重要的战略意义和经济价值。但南大光电也强调,ArF光刻胶产品的配方包括成膜树脂、光敏剂、添加剂和溶剂等组分材料。是否能够将各个组分的功能有效地结合在一起,关系到光刻胶配方的成败,这是调制光刻胶配方的最大挑战和难点,也是一个光刻胶公司技术能力的基本体现。国际上只有为数很少的几家光刻胶公司可以做到产品级 ArF光刻胶配方的调制。针对此种情况,一方面,我们可以进行外部引“智”,从光刻胶技术先进的美国和日本等国家引进相关领域的专家。另一方面,我们应该进行内部寻“智”,联合国内光刻胶的研究单位,积极培养国内的光刻胶研发人才。通过人才的“内外结合”,我们将自主研发出国产ArF光刻胶产品。同时,我们又可以此团队为基础,建设属于我国自己本土的光刻胶人才队伍,为公司先进光刻胶产品的升级换代和我国集成电路行业的后续发展奠定基础。
  • 微光刻人再相聚!第十二届微光刻技术交流会在合肥成功召开
    仪器信息网讯 9月22日,全国半导体设备和材料标准化技术委员会微光刻分技术委员会第三届年会暨第十二届微光刻技术交流会在合肥成功召开。本届会议由全国半导体设备和材料标准化技术委员会微光刻分技术委员会主办,合肥芯碁微电子装备股份有限公司(以下简称“芯碁微装”)承办。会议吸引了业界两百余位资深专家及企业代表参会。 会议现场会议伊始,由合肥市政府副市长赵明,全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长陈宝钦,中科院标准化管理委员会专家组麻云凤,合肥芯碁微电子装备股份有限公司董事长程卓以及市人大常委会副主任、合肥高新区党工委书记、管委会主任宋道军分别致辞。合肥市政府副市长 赵明 致辞全国半导体设备和材料标准化技术委员会微光刻分技术委员会秘书长 陈宝钦 致辞中科院标准化管理委员会专家组 麻云凤 致辞合肥芯碁微电子装备股份有限公司董事长 程卓 致辞市人大常委会副主任、合肥高新区党工委书记、管委会主任 宋道军 致辞致辞结束后,大会进入2022年度微光刻技术交流会环节。大会首日交流会环节邀请了12位业界专家依次分享报告,对微光刻技术、微光刻设备和材料技术的发展趋势、最新研究成果及进展等展开深入的交流与探讨。上午的报告由中科院理论物理研究所研究员冯稷主持。中科院理论物理研究所研究员 冯稷 主持报告人 合肥芯碁微电子装备股份有限公司 陈东博士报告题目 《激光直写光刻技术及应用》芯碁微装的陈东博士从激光直写技术及其在泛半导体领域的应用两方面介绍了芯碁微装的技术与应用。据介绍,激光直写技术分为激光束直写式光刻、基于2D数字空间光调制器的直写式光刻等种类,其关键技术性能指标包括产能、解析、精度和稳定性等。当前直写激光已被应用于集成电路(掩模版、晶圆、晶圆级封装、功率半导体、第三代半导体等)、平板显示(LCD、OLED等高端显示)、纳米器件(MEMS、生物芯片、3D器件等)和高端PCB等领域。报告人 北京超弦存储器研究院 师江柳博士报告题目 《应用于前沿存储器工艺的先进光刻工艺研发介绍》师江柳在报告中详细介绍了北京超弦存储器研究院的情况,DRAM对先进光刻的需求以及研究院先进光刻工作三方面内容。据介绍,DRAM存储芯片市场份额巨大,发展前景广阔,是半导体产业的核心支柱之一。中国的DRAM市场接近全球的60%,但自给能力严重不足,且核心技术受制于人,亟需关键技术的突破。当前,北京超弦存储器研究院积极搭平台、引人才、建队伍、出成果,为国产存储器产业发展提供技术来源、协调资源调配、培养专业人才、实施知识产权保护,致力于成为国内存储器技术发展的“探路人”。报告人 上海交通大学教授 周林杰报告题目 《光电子芯片封装测试技术》当前硅基光电子正朝大规模集成应用发展,同时硅光技术促进了片上光互联、量子计算、激光雷达、光子计算新应用的蓬勃发展,但光芯片的封装和测试成本却高居不下。据周林杰介绍,硅光芯片封测面临硅间接带隙材料不能发光、硅波导与光纤模式失配大使得耦合效率低、电学控制端口数多和失效检测等问题,而光电子芯片封装测试平台可提供光电子芯片封装、光电子芯片及器件测试、失效分析等服务。报告中,周林杰详细介绍了平台的电学封装、光学封装和失效分析的技术,并举了大规模光开关和激光雷达芯片两个实例。报告人 中国科学院微电子研究所研究员 齐月静报告题目 《光刻精密测量技术》光刻机是半导体产业的重要装备,其中前道光刻机的生产厂家主要有ASML、Nikon、Canon和上海微电子。光刻机的核心指标包括分辨率、套刻精度和产率,核心分系统包括对准、步进扫描曝光和成像质量控制系统。报告中,齐月静详细介绍了光刻精密测量技术中的投影物镜波像差检测、对准和套刻的关系、对准原理、对准装置等内容。以上为上午的报告内容,下午的会议交流由清华大学刘泽文教授和中科院重庆研究院王德强研究员依次主持。清华大学教授 刘泽文 主持中科院重庆研究院研究员 王德强 主持报告人 合肥芯碁微电子装备股份有限公司总经理 方林报告题目 《芯碁光刻机发展历程》芯碁微装成立于2015年,总部位于中国合肥,于2021年4月1日在科创板正式挂牌上市,成为中国首家光刻设备上市企业。据介绍,芯碁微装致力于以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品为PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他镭射直接成像系统。目前产品已广泛应用于IC芯片、掩模版、MEMS、生物芯片、PCB、Touch Panel、FPD、LED等影像转移领域。从2015年成立至今,通过自主研发,不断技术创新,芯碁微装的设备装机量已累计超过700台。报告人 长沙韶光芯材科技有限公司副总经理 彭博报告题目 《长沙韶光芯材科技有限公司》彭博在报告中介绍了长沙韶光芯材料科技有限公司的情况。据介绍,长沙韶光芯材科技有限公司1980年全套引进德国生产线作为分厂存在;2003年成为独立法人的国有公司;2011年改制为民营企业,经营层控股/员工持股;2022年引入股权投资。报告最后,彭博这样形容韶光芯材,“老牛亦解韶光贵,不待扬鞭自奋蹄”。报告人 青岛天仁微纳科技有限责任公司北区销售总监 李心报告题目 《天仁微纳 纳米压印光刻领导者》纳米压印光刻是光刻技术的一种,可以低成本加工微纳结构,在某些领域比投影光刻更具优势。李心表示,随着技术继续发展,在某些工艺节点,纳米压印技术有可能替代投影式光刻。目前,纳米压印已应用到消费类电子产品、车载光学、AR眼镜、生物芯片、显示等领域,随着纳米压印光刻技术日趋成熟,应用领域也会越来越多。报告中,李心还介绍了天仁微纳的发展历程、产品及生产线、解决方案等内容。报告人 锐时科技(北京)有限公司副总经理 朱国报告题目 《2022 Raith公司及最新应用简介》Raith于1980年创立,是一家先进的纳米加工、电子束光刻、聚焦离子束加工、纳米工程和逆向工程应用领域的精密技术解决方案提供商,总部位于德国多特蒙德,通过在荷兰,美国和亚洲(香港和北京)的子公司以及代理商和服务网络。朱国表示,Raith是纳米制造设备的全球市场和技术领导者,在全球安装了1100余套系统,开发了用于纳米结构打印和扫描的最高精度系统,经营着专门的产品平台战略,拥有最广泛的纳米制造系统产品组合。报告人 清华大学教授 刘泽文报告题目 《智能传感器与光刻技术创新-集成氮化镓MEMS传感器》刘泽文教授表示,智能传感时代已经到来,More-than-moore的系统集成值得引起光刻界的重视,基于新材料和新型加工技术的高性能传感器具有巨大的应用前景。光刻和微纳加工技术具有巨大的创新空间,创新永远在路上。创新成就的取得,需要加强企业和研究机构之间的学科和工程交叉合作,需要政府的综合协调和支持。报告人 北京汇德信科技有限公司销售技术工程师 王涛报告题目 《PHABLE紫外/深紫外光刻机特点及应用》王涛在报告中介绍了北京汇德信科技有限公司独家代理的产品-PHABLE紫外/深紫外光刻机。据介绍,该设备是泰伯效应(Talbot)光刻机(DTL技术),采用了非接触曝光的方式,具有纳米-微米级曝光分辨率,分为手动、自动机型,且可以实现大面积纳米周期图案。其中纳米级分辨率可达<50nm(DUV)、<100nm(UV),能实现4‘‘、6’‘、8’‘大面积图案化。此外,PHABLE曝光技术可以在曲面上进行曝光,最大高低差2-3mm表面上曝光纳米图形。报告人 南京南智先进光电集成技术研究院 王前进老师报告题目 《EBL在LNOI光电芯片制备的应用及问题》光电集成是后摩尔时代的可行路径之一。当前光电技术进入集成化发展阶段,产业即将迎来爆发。王前进表示,如果电子学革命的地理中心是以衬底材料来命名,即“硅材料”,那么光子学革命的诞生地应该以“泥酸锂”命名。而南智光电专注于薄膜铌酸锂特色工艺。据介绍,南智光电集成公共技术平台一期由南京市江北新区研创园投资兴建,系南京市首个光电集成领域开放式平台。平台一期占地5000平米,建有超净实验室2000平米,各类设备6000余万元,涵盖光刻、镀膜、刻蚀、封装等各工艺环节,有工艺及研发队伍40人。报告人 中国科学技术大学工程师 周典法报告题目 《光刻工艺装备的运行保障》周典法老师在报告中介绍了装备运行中的常见问题、装备的故障诊断和修复以及装备的运行保障措施。周典法表示,设备的运行保障以预防为主,需加强日常巡检,定期对易损件耗材进行更换和备件储备,确保电力供应正常,还要培养一位自家的硬件维修工程师。合影留念9月22日的微光刻技术交流会到此结束。23日,大会将召开2022年度先进光刻技术交流会、2022年度第三届微光刻分技术委员会年会和2022-2023年两届承办方交接牌仪式,并邀请专家参观合肥芯碁微电子装备股份有限公司产业链上/下游企业。
  • 龙图光罩IPO:购置5.4亿元仪器设备,光刻机等主要从日本等地进口
    仪器信息网讯 近日,深圳市龙图光罩股份有限公司(以下简称:龙图光罩)公布“首次公开发行股票并在科创板上市招股说明书(申报稿)”,招股书显示,龙图光罩拟募资6.6亿元,募集资金投资项目为高端半导体芯片掩模版制造基地项目、高端半导体芯片掩模版研发中心项目和补充流动资金项目,募资主要用于设备购置及安装等。相关资料显示,在半导体掩模版领域,中国大陆第三方半导体掩模版生产企业主要集中在 130nm 及以上制程节点,130nm 及以下制程节点掩模版仍严重依赖进口,国内供应还有较大缺口。根据贝恩咨询数据,2020 年全球 130nm 制程以上的晶圆制造商营收为 941 亿元人民币,130nm-65nm 制程节点的营收为 848 亿元,45nm-28nm 制程节点的营收为 1015 亿元,在 130nm 制程以下的半导体掩模版有着广阔的市场空间。为不断地提高研发实力,加强基础性研究,实现公司产品的不断技术升级,龙图光罩拟新建高端半导体芯片掩模版研发中心,项目围绕高端半导体芯片掩模版的研发与生产课题,计划通过持续加大研发投入和资金投入,逐步实现 90nm、 65nm 以及更高节点的高端制程半导体掩模版的量产与国产化配套。研发成果的实现有利于保持龙图光罩在半导体掩模版领域的技术领先地位,提升我国半导体掩模版制造技术水平。主营业务和技术据了解,龙图光罩主营业务为半导体掩模版的研发、生产和销售,是国内稀缺的独立第三方半导体掩模版厂商。公司紧跟国内特色工艺半导体发展路线,不断进行技术攻关和产品迭代,半导体掩模版工艺节点从 1μm 逐步提升至 130nm,产品广泛应用于功率半导体、MEMS 传感器、IC 封装、模拟 IC 等特色工艺半导体领域,终端应用涵盖新能源、光伏发电、汽车电子、工业控制、无线通信、物联网、消费电子等场景。招股书显示,龙图光罩已掌握 130nm 及以上节点半导体掩模版制作的关键技术,形成涵盖CAM、光刻、检测全流程的核心技术体系。在功率半导体掩膜版领域,工艺节点已覆盖全球功率半导体主流制程的需求。龙图光罩主要产品如下:募集资金具体运用情况一、高端半导体芯片掩模版制造基地项目高端半导体芯片掩模版制造基地项目位于珠海市高新区金鼎片区金鼎中路东、金瑞二路北侧。本项目主要从事掩模版的生产,预计年产掩模版 12.5 万片/年。项目总投资为 66,942.07 万元,具体金额及资金使用计划如下表所示:项目建设期为三年,项目建设周期规划为以下几个阶段:初步设计、建安工程、设备购置及安装、人员招聘与培训、系统调试及验证及试运行等 6 个阶段,具体的项目建设进度安排如下:二、高端半导体芯片掩模版研发中心项目本项目总投资为 3,320.00 万元,预计公司投入募集资金 3,320.00 万元。具体金额及资金使用计划如下表所示:项目建设期为三年,工程建设周期规划为以下几个阶段:设备购置及安装、人员招聘与培训和试运行等阶段,具体的项目进度安排如下:主要仪器设备情况及供应商半导体掩模版行业的主要生产设备昂贵,对相关企业资本投入要求较高。近三年,龙图光罩采购光刻机台数及平均单价情况如下:随着工艺节点的提升,全流程生产设备均需要升级,资本投入将被迫大幅上升。截止去年12月31日,主要生产设备情况如下:随着本次募投项目的实施,龙图光罩将将引入多台电子束光刻机、干法刻蚀机、高端 AOI 检测设备等,相应固定资产金额亦将大幅提升。据披露,公司主要生产设备,如光刻机主要向境外供应商采购,包括瑞典 Mycronic、德国 Heidelberg、日本 JEOL 等。采购依赖于境外且集中度较高,若国际贸易出现极端变化,或供应商自身经营状况、交付能力发生重大不利变化,将对公司的生产经营产生不利影响。
  • 光芯片技术攻关及产业化立项,将新增光刻、刻蚀等设备20余台
    5月23日,武汉敏芯半导体有限公司用于5G数据中心高速光芯片核心技术攻关及产业化项目立项。公示信息显示,该项目针对目前国内高端芯片严重依赖进口的现状,基于5G通信对高速光芯片的要求,开展相关 DFB光芯片技术和工艺研究,完成5G高速光芯片中高带宽,宽温工作的技术难题攻关;建设高速芯片生产制造线,完成产品的产业化及“国产化”替代,加快光通信产业升级。此外,项目将新增2英寸晶圆工艺的光刻机、反应离子刻蚀设备、芯片测试机和网络分析仪等设备共20余台,年产能增加2000万只,产值增加2亿元。
  • 升级光刻机管制!荷兰发布先进半导体制造设备出口禁令
    6月30日,荷兰政府发布公告,9月1日,先进半导体制造设备的额外出口管制措施将生效。从那时起,某些先进半导体制造设备的出口将受到国家授权要求的约束。该部长令于3月8日在致众议院的一封信中宣布,并于今天在《政府公报》上公布。对外贸易和发展合作部长Liesje Schreinemacher说,我们采取这一步骤是出于国家安全考虑。对于将受到影响的公司来说,知道他们可以期待什么是好事。这将给他们所需的时间来适应新规则根据这项部长令,现在有必要为某些类型的先进半导体制造设备的出口申请出口许可。该订单涉及先进半导体开发和制造的一些非常具体的技术。由于它们的具体使用方式,这些半导体可以为某些先进的军事应用做出关键贡献。因此,货物和技术的无管制出口可能构成国家安全风险。荷兰在这方面负有额外的责任,因为该国在这一领域具有独特的领导地位。与一般的出口管制政策一样,这一额外步骤是不针对国家的。“我们仔细考虑了这一决定,并尽可能准确地起草了部长令。这位部长说,这样,我们就可以解决最重要的漏洞,而不会对全球芯片制造造成不必要的干扰。据媒体报道,荷兰在先进半导体出口限制中除了EUV光刻机、较为先进的DUV光刻机外,还包括了ALD设备以及一些SiC外延设备。以下为使用谷歌翻译软件翻译的荷兰语公告:
  • 微光刻老科学家的故事:亲历光刻技术发展六十年——访中国科学院微电子研究所陈宝钦教授
    近年来,美国对中国芯片产业不断围追堵截。受此影响,中国半导体产业开始加大研发力度,尽可能缩短打破海外技术垄断的时间。在业内外看得见的数据背后,是国家的鼎力支持、企业和科研人员的不懈坚持。有这样一群老科学家,他们将自己的一生奉献给了中国半导体事业。已经80岁高龄的科学家陈宝钦就是其中之一,一辈子都在从事光掩模制造与光刻技术相关的工作。仪器信息网有幸采访到这位多年来为祖国半导体事业奔波的老科学家——陈宝钦教授。从矿石收音机与半导体结缘陈宝钦教授1942年出生于福州郊区的一个农民家庭,而世界上第一台现代电子数字计算机埃尼阿克(ENIAC),也诞生于1946年2月14日的美国宾夕法尼亚大学,当时的计算机是由如同电灯泡一样的真空管组成,也称之为电子管,直到1947年,世界上才出现了第一只半导体晶体管,这时的陈老师也才是个五岁的农村孩子。而陈宝钦14岁念初中时,突然好奇地按一本科普杂志《知识就是力量》中所介绍方法,利用一根大头钉插在一小块锗矿石上,加上耳机、漆包线线圈和可变电容组装了一个简易的锗矿石收音机,居然非常奇妙地能听到各种各样大大小小微弱的电台吱吱哇啦广播声响。虽然这只是最简单的收音机,可就是这个最原始的《矿石收音机》在他心中播下了半导体科技的种子,启蒙了陈宝钦接下来的半导体结缘之路。上世纪五十年代,我国制版光刻领域还是空白。半导体处在起步阶段,在黄昆、谢希德、林兰英、王守武、黄敞、高鼎三、吴锡九等归国老一辈科学家的带领下,我国的半导体技术教育、科研和产业开始蹒跚起步。当时全国开始了半导体产业的建设,周总理主持制定“十年科学技术发展远景规划纲要”,将半导体科技等列为国家重要科技项目。由北大、复旦、南大、厦大、东北人大(吉林大学前身)等五校在北大成立联合半导体专门化。1960年,18岁的陈宝钦考入了北京大学物理系物理专业,并于1963年被分到半导体专门化,师从黄昆老师。毕竟能考入北京大学,也是来自福建学生中的佼佼者,在北京大学前两年的基础课学习中没有什么问题。但在后两年发现开始吃力,毕竟受制于从农村背景出来的孩子,无论在知识面还是各方面基础上都逐渐跟不上来自北京上海大城市同学。尤其是自己记忆力差,反应能力也慢,显然有点笨,有点打退堂鼓准备回家跟奶奶种地去。后来在在一位非常要好的北京同学鼓励下,争口气,坚持下来。虽然来自农村的孩子与来自大城市的孩子相比,智商不足,但是可以用勤奋来补救,从此陈老师采用笨鸟先飞的方法,充分发挥自己超强的联想分析能力、图像识别能力和归纳总结本领,实现了学业上的追赶和逆袭。陈宝钦回忆说,“自己为了跟上老师讲课,课堂上的笔记如鬼画符,时间久了自己都不知道画的什么符,所以每天晚上都躲在学生宿舍楼拐角上一间小会议厅桌子堆里,将白天的笔记认真地整理成图文并茂工工整整的另一套笔记。实践证明,这种学习方法,产生了奇迹般的效果。尤其是原子物理学的一大串经验公式没有什么道理,真的记不住,采用这种办法居然都能记住了,即使忘了,翻到那一页,不用细看,很快就想起来了。”说明勤奋可以补救智商的不足,实现追和赶!采访过程中,陈老师还展示了几十年保存下来他当年自己耐心整理的工工整整的学习笔记本应1966年毕业的陈宝钦,由于文革的缘故,1968年才分配工作到中国科学院半导体所。从26岁到半导体所开始,陈宝钦正式开启了其半导体职业生涯。而这些宝贵的经历也为陈宝钦未来在半导体的科研求索之路奠定了精神基础。亲历光刻技术发展六十年亲历中国光刻技术发展六十年,陈宝钦已到耄耋之年,花白的头发和风风火火的步伐,显得神采奕奕,精神抖擞。“我年轻的时候喊过一句口号叫做要健康为祖国工作五十年,现在我做到了,这几十年中,最脏的活干过、最苦的活干过、最累的活干过、最危险的活也干过,但是,一辈子最有意思的就是从事微电子、微光刻、电子束光刻研究工作,以及指导研究生、青少年的科普工作。”采访中,他对自己的半导体人生这样总结道。年轻时这些艰苦的磨炼成为他的人生珍贵的精神财富。正如其所言,从青年时代到耄耋之年,陈宝钦参与到了诸多半导体研究中,将自己的研究经历总结为“一辈子就干一件事:光掩模制造与光刻技术,见证了中国微电子技术特别是光刻技术的从无到有的发展整个过程。”上世纪六十年代是人工为主的制版光刻技术萌芽年代。1968年,陈宝钦从北京大学刚进入半导体所工作时,整个半导体工艺全是手工的,而他则从利用手术刀、坐标纸和钢板尺手工刻版图,自制光刻胶(感光胶),采用电子束蒸发工艺自制铬板和镉板开始,从事光刻版(光掩模)制造工艺,也曾用废旧的显微镜搭建简易的曝光装置。人工为主的制版光刻技术萌芽年代,沿用古老传统的照相术及显微镜缩小曝光七十年代,我国科学家王守觉看到国外同行发表的用拼图感光方式产生版图的图形发生器论文,证实了他早在1965年提出的创新设想并在1966年初见成效的制版途径的正确性,成功地改造成了我国第一台能自动制版的积木式图形发生器。在1975年,33岁的陈宝钦也参加了王守觉先生的团队,把一台工具显微镜改造成“图形发生器”实验并参加了几项光刻机研制工作。而在同期除手动半自动的劳动牌光刻机外,因为电路器件的可靠性稳定性不过关的问题,当年研发的光刻设备基本上都成了一堆废铁。在这段研究岁月中,因为正好遇上唐山大地震,整个研究所就剩下陈老师和在楼道望风的实验室马俊如主任,就在那样的条件下用手工摇曝光机制备第一批1k位规模的集成电路掩模版,乃至的后来4k、16k、64k位规模的集成电路掩模版。在1979 年和1981年的中国科学院科技进步一等奖中,陈宝钦正是负责其中掩模制造,在其中扮演了重要角色。1982年中国科学院授予先进工作者称号。中国科学院京区先进工作者上世纪八十年代,陈宝钦开始研发光学分辨率增强技术之一的相移掩模技术,让只有1微米加工能力的精缩机,通过自已制备的全透明掩模掩模曝光成功完成了制备180纳米的线条和60纳米的园点阵列的实验。科学院科技进步奖证书此外,基于多年的研发经验,陈宝钦还研究出了一种别具特色的光学图形合成技术。这是一种基于高精度光学分步重复精密缩小照相机(简称精缩机)进行光学图形投影拼接成像的一种方法。由于精缩机的图形拼接精度高于光学图形发生器,可以利用精缩机的分步重复功能,采用各种称之为“图形词汇”的图形单元高效率地拼接出高精度的周期性比较强的大面积图形,也可以采用不同的“图形词汇”拼出各种高精度复杂的图形。当年正是基于独特的用微米级精缩机当"光学图形发生器,实现了亚微米精度的《图形合成技术》。由于当年没有激光图形发生器,更没有电子束图形发生器。为了帮助中国科学院长春光机所完成高精度圆光栅模版的任务,就是采用这种光学图形合成技术手工拼接的方法圆满地完成了任务,获得了中国科学院二等奖及国家科技进步三等奖。通过在掩模制造中的深度钻研,陈宝钦的能力也获得了认可。1986年,109厂与中国科学院半导体研究所、计算技术研究所有关研制大规模集成电路部分人员合并成立中国科学院微电子中心(中科院微电子所前身)。在此之前,1985年,陈宝钦便只身一人带着嫁装(GCA3696PR精缩机)从中国科学院半导体研究生来到微电子中心和原来科学院109厂制版工艺室的六位制版技术人员(带GCA3600FS光学图形发生器)组建了一个计算机辅助设计光掩模制造小组,从事计算机辅助光掩模制造工艺及介观物理课题光学光刻分辨率增强技术研究。上世纪九十年代是国际上集成电路特征尺寸向深亚微米推进的十年,同期我国改革开放引进了5~8英寸的生产线,开展了亚微米加工技术研究,逐渐开始进入以电子束光刻高精度制版光刻年代。1992年,50岁的陈宝钦来到美国ETEC公司考察电子束光刻机和多头电子束光刻技术,并着手于电子束投影光刻的研发。自此,陈宝钦的工作内容也由特征尺寸100~0.5微米的光学光刻转向精度更高的电子束光刻技术,在1994年参加了中国科学院电工研究所的顾文琪老师从美国引进的二手JEOL6AII电子束可变矩形束掩模曝光机改造升级实验工作。开始有了电子束掩模制版系统。1992年以后,在以陈宝钦为代表的老科学家们的努力下,我国的微纳加工技术从光学曝光的深亚微米一直做到现在的电子束光刻20纳米,10纳米,乃至能够找到5纳米。尽管我们设备条件落后国外三到五代,经费比国外同样的课题少100倍,甚至1000倍的条件下始终紧紧咬住国际先进水平不松口,与国外同步地开展纳米加工技术的研究。中国科学院微电子研究所JBX6300FS电子束光刻系统巧合的是日本爱徳万测试株式会社的字符式投影电子束光刻系统所采用硅镂空掩模版的图形字符库技术与当初陈宝钦研究出的光学图形合成技术的图形词汇库基于相同的原理,异曲同工。当初正是基于这种掩模图形词汇库技术,陈宝钦始终走在国内掩膜光刻技术的前沿,完成了一系列的技术突破。如今的陈宝钦身体很硬朗,在2018年实现了自己年轻时的承诺,做到了为祖国健康工作50年,而在从事微光刻技术研究开发40年间将特征尺寸缩小1000倍集成度提高千万倍。为祖国半导体事业奔波,播种微电子的种子三十年前,国内半导体设备和材料标准化工作刚刚起步,与国际水平相去甚远。面对此情此景,引进、吸收国际标准,转化为国家标准就显得尤为重要。基于此陈宝钦老师就开始投身半导体设备和材料标准化工作,1991年9月24日,国家技术监督局组织成立“中国SEMI标准化工作组”,翻译出版SEMI标准1990中译版。1992年,SEMI中国标准化委员会成立,正式开启了中国半导体设备与材料的标准化历程。2003年,全国标准化技术管理委员会SEMI中国更名为“全国半导体设备与材料标准化技术委员会”,并申请筹建了“微光刻分技术委员会”。如今,陈宝钦就任全国半导体设备和材料标准化委员微光刻分技术委员会秘书长。2022年第十二届微光刻分技术委员会年会也由合肥芯碁公司承办,在合肥召开。但实际上微光刻分技术委员会在刚成立的前十届年会都是筹的状态。由于国标委没有正式批复成立,委员会也只能自筹经费。“在微光刻分技术委员会长达十年的筹备历程中,一分经费也没有,怎么办?”,陈教授回忆最初筹备年会时的情况道。面对缺少经费的困境,陈教授联想到了奥运会的申办制,于是决定年会争取发动与微光刻技术相关的企业、高等院校、科研院所的积极性,自愿申报承办会议的办法,并且把每年的微光刻技术交流会和微光刻标准化技术年会合并进行,同时欢迎国外与微光刻建设及半导体设备和材料的厂家参加我们的标准化技术和微光刻技术交流会。结果会议很受欢迎,申请承办年会的单位居然排队到2030年。好事多磨,在经历了多年的努力下,终于在2020年5月26日,国家标准化建设管理委员会正式批准成立全国半导体设备和材料标准化委员微光刻分技术委员会。微光刻分技术委员会在筹建的这些年里,组织编撰的标准《微电子学微光刻技术术语》报批稿15万字,涉及十一组分类和一千五百条专业术语,配套的宣贯手册稿达25万字,极大的推动了我国在微光刻领域的标准化工作。该标准规定了与微电子学微光刻技术有关的微电子光刻技术术语;先进光刻技术术语;微光刻图形化和图形数据处理技术术语;微光刻感光材料、铬板与基片术语;光掩模与先进掩模技术术语;光刻工艺(曝光、刻蚀与微纳米加工)技术术语;电子束掩模制造与直写技术术语;光刻及掩模质量参数测量和评定术语;掩模制造设备和微光刻设备术语。陈教授心系祖国微电子事业发展,将一生奉献给祖国微电子事业。退休后除了奋斗在科研第一线和研究生教学第一线外,也活跃在科普第一线,当微电子科学播种机,致力于激发祖国的花朵对微电子的热情,为祖国的微电子事业发展和人才培养持续发光发热。这些年来,陈宝钦始终为青少年和娃娃们作科普讲座,希望将来在他们中间有的能成长成为微电子科技工匠。由于近五年陈宝钦在全国各地进行了数百场科普讲座,为全国青少年科普工作做出重要贡献,2021年中国科学院授予陈宝钦教授十三五期间科普工作先进个人荣誉称号。2022年4月26日在上海大学线上空中课堂中进行的一场《先进光刻技术》讲座的受众近三万人,2022年5月1日在北京大学网络学院校友会亲子科普直播《微电子如何把沙子炼造成芯片,以魔方为例谈谈学习方法问题》讲座的受众也近一万人。陈宝钦认为,科普要从娃娃抓起,更要从幼儿园开始播种微电子的种子。每次讲座中,陈教授都以魔方为例畅谈学习方法,生动活泼的科技课堂受到师生的一致好评。陈宝钦从自身经历谈起,到光刻技术发展史,再到半导体集成电路关键工艺技术,最后以魔方为引,启示青少年如何做人、做事、做学问,助力青少年科技创新。也由此收获了诸多“铁粉”。 陈宝钦寄语半导体制造工艺是人类迄今为止最精细的加工工艺,它要求:最完美的半导体晶体材料、最精密的制造设备、最纯净的气体和化学品材料、最精准的工艺技术、最洁净的厂房环境、最敬业的团队成员,这几个条件缺一不可。需要整个社会重建诚信、重视工匠精神、重整学术道德、重塑民族素质才有希望实现追和赶。本来科学与技术都是全人类的共同财富,可是现在世界上有的政客要把它霸占为己有,我们中国集成电路发展遇到百年变革的挑战和机遇 ,面对无赖堵劫,中国已经没有退路! 老祖宗告诉我们别理这般小人! 管好自己的人,看好自己的门,做好自己的事!高端集成电路芯片制造技术和高端光刻机都是世界科技的珠穆朗玛峰,也是一次新的万里长征,需要以攀登科学高峰的精神,踏踏实实努力追和赶,别想投机取巧弯道超车。做好每一件简单的事,就是不简单;做好每一件平凡的事,就是不平凡。社会分工有不同,一个人能力有大小,只要咱们脚踏实地地努力搞好本职工作,就会对国家有贡献,就会成为社会有用的人才。陈宝钦研究员,博士生导师,1942年生于福建省福州市,1966年毕业于北京大学物理系,1968-1985年任职于中国科学院半导体研究所,1986年至今于中国科学院微电子研究所。兼职中国科学院大学(国科大)教授;全国半导体设备和材料标准化技术委员会副主任、微光刻分技术委员会秘书长;全国纳米技术标准化技术委员会微纳加工技术工作组副秘书长,计量与测试技术工作组委员;中国科学院老科技工作者协会理事、微电子分会理事长、科学讲师团成员;全国大学生创新创业iCAN金牌讲师团成员;北京电子学会半导体专业委员会副主任、制版分会主任;中国科学院大型仪器设备研发监理,中国科学院重庆绿色智能技术研究院学术委员会委员。
  • Intel EUV极紫外光刻设备进厂:冲刺“4nm”工艺
    位于爱尔兰莱克斯利普(Leixlip)、投资70亿美元的Intel Fab 34晶圆厂迎来重要时刻:一台光刻胶显影设备(lithography resist track)缓缓进入工厂,这也是该厂的第一台巨型芯片制造工具。该设备来自Intel美国俄勒冈州工厂,搭乘飞机越过大西洋,来到了爱尔兰。这台设备将与EUV极紫外扫描仪搭档,首先为硅晶圆覆上精密的涂层,然后进入EUV扫描仪,进行曝光,接着晶圆回到光刻设备,再进行一系列的高精密光显影、清理操作。一座典型的晶圆厂包含大约1200台先进制造设备,大部分价值都在百元美元级别。Intel Fab 34晶圆厂2019年动工建设,计划2023年正式投产,将会把Intel在爱尔兰的产能翻一番,并为未来生产Intel 4工艺铺平道路——严格来说是Intel 7nm,但是官方重新命名,认为它可以媲美行业4nm水平。Alder Lake 12代酷睿、Raptor Lake 13代酷睿都是Intel 7工艺(10nm ESF),Meteor Lake 14代酷睿和代号Granite Rapids的下下代至强都将用上Intel 4工艺。官方透露,新工艺研发进展顺利,芯片测试已经完美通过,SRAM、逻辑单元、模拟单元都符合规范,去年第二季度还早早完成了Meteor Lake计算单元模块的流片。Intel现阶段正在全球建设、升级晶圆厂,除了爱尔兰还有美国本土的亚利桑那州、新墨西哥州、俄勒冈州,以及马来西亚,投资上百亿美元,很快还会宣布在欧洲、美国的更多晶圆厂建设计划。
  • ASML:数值孔径0.75超高NA EUV光刻设备2030年登场
    据日本媒体报导,光刻机设备龙头阿斯麦(ASML)执行副总裁Christophe Fouquet近日在比利时imec年度盛会ITF World 2023表示,半导体产业需要2030年开发数值孔径0.75的超高NA EUV光刻技术,满足半导体发展。Christophe Fouquet表示,自2010年以来EUV技术越来越成熟,半导体制程微缩至2020年前后三年,以超过50%幅度前进,不过速度可能会在2030年放缓。故ASML计划年底前发表首台商用High-NA(NA=0.55)EUV微影曝光设备(原型制作),2025年量产出货。2025年开始,客户就能从数值孔径为0.33传统EUV多重图案化,切换到数值孔径为0.55 High-NA EUV单一图案化,降低制程成本,提高产量。High-NA EUV预估会有五大客户:英特尔、台积电、三星、SK海力士、美光,可最早使用设备。科林研发、柯磊、HMI和JSR及TEL等正与ASML合作,开发High-NA EUV材料与特用化学品。Fouquet表示,EUV光源输出功率一直稳步增加,ASML传统型号EUV光源输出功率为250W~300W,最新型号3600D增加到350W,现在研究层面已做到600W,800W指日可待。到2030年,使用High NA EUV的多重图案将与单一图案一起完成,以提高产量,并降低制程成本,需要更高数值孔径的EUV曝光(NA=0.75)。藉DUV、ArF、EUV和High-NA EUV技术形成图案的每个晶体管成本都不断变化,考量到新技术价格一定高于EUV每套3亿美元,High-NA EUV价格将非常可观,但仍取决于客户要求和开发成本。
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
  • 芯源微:前道涂胶显影机可与ASML等光刻机联机应用
    近日,芯源微披露投资者关系活动记录表指出,公司前道涂胶显影机与国际光刻机联机的技术问题已经攻克并通过验证,可以与包括ASML、佳能等国际品牌以及国内的上海微电子(SMEE)的光刻机联机应用。芯源微表示,涂胶显影机在Iline、KrF、向ArF等技术升级的过程中,主要技术难点在于涂胶显影机结构复杂,运行部件多。研发升级在技术上有很大的跨度,主要体现在颗粒污染物的控制方面,例如烘烤精 度、多腔体的一致性及均匀性、不同光刻胶的涂胶显影工艺精 细化控制,以及设备整体颗粒污染物控制等。据悉,当前,全球半导体设备市场的主要份额基本被国外厂商占据,如美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等,为了突破这一卡脖子技术,近年来,国产半导体企业亦在奋力追赶,希望尽早实现国产替代。资料显示,芯源微成立于2002年,是由中科院沈阳自动化研究所发起创建的国家高新技术企业,专业从事半导体生产设备的研发、生产、销售与服务。图片来源:芯源微公告芯源微产品广泛应用于半导体生产、高端封装、MEMS、LED、OLED、3D-IC TSV、PV等领域,产品包括光刻工序涂胶显影设备和单片式湿法设备,可用于8/12英寸单晶圆处理及6英寸及以下单晶圆处理。目前,芯源微的主要客户包括中芯国际、华力微电子、长江存储、台积电、华为、上海积塔、株洲中车、青岛芯恩、长电科技、通富微电、华天科技、晶方科技、华灿光电、乾照光电、澳洋顺昌等半导体知名厂商。作为芯源微的标杆产品,光刻工序涂胶显影设备成功打破国外厂商垄断并填补国内空白,其中,在集成电路前道晶圆加工环节,作为国产化设备已逐步得到验证,实现小批量替代;在集成电路制造后道先进封装、化合物、MEMS、LED 芯片制造等环节,作为国内厂商主流机型已广泛应用在国内知名大厂,成功实现进口替代。新华社此前报道,芯源微产品在匀胶显影技术领域居国内第一,达到国际先进水平。芯源微在记录表指出,公司现有的厂区已经是满负荷运转,同时新厂房也在建设当中,按照计划将于2021年4季度投入使用,届时对公司产能提升会起到非常大的作用。
  • 国内光刻直写第一股登录科创板:核心零部件依赖进口
    4月1日,合肥芯碁微电子装备股份有限公司首次公开发现股票并在科创板上市。不过,招股说明书也提示投资者,芯碁微装得发展也面临多种风险因素。其中第十一条指出,芯碁微装得核心零部件等主要向日本Nichia Corporation和美国Texas Instruments或其代理商等境外供应商采购,面临着供应商集中度较高得风险,而且受到日本、美国贸易政策变化影响。根据招股说明书,芯碁微装专业从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发、制造、销售以及相应的维保服务,主要产品及服务包括PCB 直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备以及上述产品的售后维保服务,产品功能涵盖微米到纳米的多领域光刻环节。PCB 直接成像设备及自动线系统(PCB 系列)在 PCB 领域,芯碁微装提供全制程高速量产型的直接成像设备,最小线宽涵盖8μm-75μm范围,主要应用于 PCB 制造过程中的线路层及阻焊层曝光环节,是 PCB 制造中的关键设备之一。在最小线宽指标方面,芯碁微装的ACURA 280 产品能够实现8μm的最小线宽,满足目前PCB领域最高端的IC载板制造要求;在产能指标方面, 公司 TRIPOD100T 单机产品能够在最小线宽 35μm、对位精度±12μm 的条件下 实现 300 面/小时的产能,MAS 15T 单机产品能够在最小线宽 15μm、对位精度 ±8μm 的条件下实现 270 面/小时的产能。在市场覆盖方面,芯碁微装该类产品已成功实现对深南电路、胜宏科技、博敏电子、柏承科技、台湾软电、迅嘉电子、富仕电子、科翔 电子、诚亿电子、宏华胜、景旺电子、相互股份、峻新电脑、普诺威、珠海元盛、华麟电路等客户的销售;在PCB阻焊曝光领域,发行人产品已经成功实现 对深南电路、景旺电子、罗奇泰克、红板公司、嘉捷通和珠海元盛等客户的销售。在技术实力方面,与大族激光、江苏影速、天津芯硕、中山新诺等国内同行业厂商相比较,芯碁微装大部分产品在核心技术指标方面具有比较优势,具有较强的市场地位;与以色列Orbotech、日本ORC、日本ADTEC等国际厂商相比较,芯碁微装产品在部分核心技术指标上还存在一定的差距。泛半导体直写光刻设备及自动线系统(泛半导体系列)在泛半导体领域,芯碁微装提供最小线宽在500nm-10μm的直写光刻设备,主要应用于下游IC掩膜版制版以及IC制造、OLED显示面板制造过程中的直写光刻工艺环节。在 OLED 显示面板直写光刻设备领域,为进一步提高设备整体产能,满足面板客户的小批量、多批次生产与研发的需要,芯碁微装开发了OLED直写光刻设备自动线系统(LDW-D1),采用多台 LDW X6 并联自动化生产,可以实现多个机台同时独立工作,整个自动线系统包括数个独立光刻机台和一个公用的机械传送装置,系统通过读码扫描生产信息进行参数调取,可以实时监测各个机台的运作情况并反馈到客户的MES系统,自动生成生产报表和生产日志报警信息,客户可以实时监控生产情况、修改生产工艺参数,从而保证产 品的品质。在市场覆盖方面,芯碁微装凭借技术、性价比、服务等优势已经获得维信诺、中国电子科技集团公司下属研究所、中国科学技术大学等业界知名客户、科研院所认可,有效提升了国产泛半导体直写光刻设备的市场知名度。同时,在该领域的技术研发实力和技术成果转化经验,将为其后续开展晶圆级封装 (WLP)直写光刻设备和 FPD 显示面板高世代产线直写光刻设备的产业化打下 坚实的基础。在技术实力方面,芯碁微装可比公司主要包括瑞典Mycronic、德国Heidelberg等国际厂商以及江苏影速、中山新诺、天津芯硕等国内厂商。该类产品的各项核心技术指标在国内厂商中整体处于较高水平,并在部分性能指标达到了德国Heidelberg竞品的水平,但与全球领先企业瑞典Mycronic相比较还具有较大的差距。发行概况根据招股说明书,本次募投资金主要用于高端PCB激光直接成像(LDI)设备升级迭代项目、晶圆级封装(WLP)直写光刻设备产业化项目、平板显示(FPD)光刻设备研发项目和微纳制造技术研究中心建设项目。附件:合肥芯碁微电子装备招股说明书.pdf
  • 安捷伦助力光刻胶国产化,提供杂质元素测定方案
    工欲善其事,必先利其器。半导体设备与材料作为半导体行业的前端供应基石,其进步与发展是整个行业持续向前的源动力。目前,我国半导体材料国产化替代市场需求期望大、发展空间广阔,同时各方资源共同推动行业上游材料、设备的进步。其中,光刻胶自 1959 年被发明以来,就成为半导体工业最核心的工艺原材料,可谓是推动实现摩尔定律的重要力量。但目前,我国集成电路用半导体光刻胶仍大规模依赖进口,是近几年国产化替代期望较高、国内半导体行业重点支持的核心项目,这也为国内光刻胶企业提供了市场空间和发展机遇。但由于半导体光刻胶有较高的行业技术壁垒和客户认证壁垒,为国产化道路造成了极大挑战。在半导体光刻胶的众多质控项中,除去关键的光学及物理性能,金属离子污染是晶圆制造三大常规污染中影响最为严重的一类,加之光刻工艺中光刻胶的特殊操作及所发生的光化学反应,现也成为质量管控中非常重要的一项图为:光刻胶产品制作工艺流程图对此,作为拥有多年半导体光刻胶研发经验的业内人士,上海新阳半导体材料股份有限公司研发部耿志月部长认为:“光刻作为集成电路制程中的核心步骤,其过程中的试剂及材料的金属离子污染会直接导致制程良率降低甚至废品产生,尤其对于影响最为严重的碱金属、碱土金属,管控最为严格。而光刻胶作为光刻制程中的核心材料,其产品品质要求逐步提升。金属离子含量管控需求已从成品逐步发展到全产业链,尤其对于基础原料中金属离子含量的控制,会直接影响后续工艺和最终成品。”安捷伦元素分析解决方案是基于半导体光刻胶全产业链,从原料到光刻胶成品的杂质元素含量管控体系。半导体光刻胶一般由光引发剂、树脂、单体、溶剂和其他助剂等组成,所用原料的品质会直接影响最终产品的品质;同时也决定了生产工艺的复杂程度、效率、成本等。安捷伦新一代 ICP-OES 可提供有机类样品直接进样的简便分析方法,同时具有更加智能化的分析模式,全谱扫描可自动鉴定光谱干扰,可用于筛查高含量杂质元素,这也为最终光刻胶产品的分析方法提供一定的指导信息,可最大程度减少样品复测率、保证测试准确性,为原料选择及追溯提供可靠保证。紧随半导体集成电路技术的发展需求,安捷伦 ICP-MS 通过不断的技术革新和行业经验积累,满足半导体行业对于痕量金属离子分析能力数量级式的提升。对于光刻制程用到的光刻胶及其配套试剂等有机化学品的检测,安捷伦专有的温焰模式(Warm Plasma)分析方法可对有机基体产生稳定的等离子体,同时加之样品引入部分特有的补偿气调节,可达到高灵敏度、低背景值检测,大大优化信噪比,有效实现 ppt 级及以下的检出能力。针对半导体光刻胶,从样品制备,到针对各种有机样品ICP-MS仪器参数选择,安捷伦与业内专家共同整理了《ICP-MS/MS 测定半导体光刻胶中的杂质元素 SOP》,期望助力光刻胶国产化。
  • 俄罗斯正在开发可替代光刻机的芯片制造工具?
    近期,俄罗斯国际新闻通讯社报道,俄罗斯在开发可以替代光刻机的芯片制造工具。据悉,圣彼得堡理工大学的研究人员开发出了一种“光刻复合体”,可用于蚀刻生产无掩模芯片,这将有助于俄罗斯在微电子领域技术领域获得主动权。该设备综合体包括用于无掩模纳米光刻和等离子体化学蚀刻的设备,其中一种工具的成本为500万卢布(约36.7万元人民币),另一种工具的成本未知。开发人员介绍,传统光刻技术需要使用专门的掩膜板来获取图像。该装置由专业软件控制,可实现完全自动化,随后的另外一台设备可直接用于形成纳米结构,但也可以制作硅膜,例如用于舰载超压传感器。这不是俄罗斯首次对外公布有关光刻机的消息, 2022年10月,俄罗斯科学院下诺夫哥罗德应用物理研究所就宣布朝光刻机领域展开工作,该研究所希望能开发俄罗斯首台本土光刻机,用以生产7纳米拓扑芯片。对此,业界认为,这需要数年时间才能实现。
  • 微纳光刻好助手!小型台式无掩膜直写光刻系统MicroWriter ML3
    随着国内各学科的发展和产业的升级,相关的科研院所和企事业单位对各种微纳器件光刻加工的需求日益增多。然而,这些微纳器件光刻需求很难被传统的掩模光刻设备所满足,主要是因为拥有这类的光刻需求的用户不仅需要制备出当前的样品,还需要对光刻结构进行够迅速迭代和优化。为了满足微纳器件对光刻的需求,Quantum Design中国推出了小型台式无掩膜直写光刻系统MicroWriter ML3作为微纳器件光刻的解决方案。与传统的掩模光刻相比,MicroWriter ML3根据用户计算机中设计的图形在光刻胶上制备出相应的结构,节省了制备光刻板所需要的时间和经费,可以实现用户对光刻结构快速迭代的需求。此外,MicroWriter ML3 可用于各类正性和负性光刻胶的曝光,最高光刻精度可达0.4 μm,套刻精度±0.5 μm,最高曝光速度可达180mm2/min。目前,MicroWriter ML3在国内的拥有量超过150台,被用于各类微纳器件的光刻加工。 人工智能领域器件制备人工智能相关的运算通常需要进行大量的连续矩阵计算。从芯片的角度来说,连续矩阵运算主要需求芯片具有良好的乘积累加运算(MAC)的能力。可以说,MAC运算能力决定了芯片在AI运算时的表现。高效MAC运算可以由内存内运算技术直接实现。然而,基于的冯诺依曼计算架构的芯片在内存和逻辑运算之间存在着瓶颈,限制了内存内的高速MAC运算。理想的AI芯片构架不仅要有高效的内存内运算能力,还需要具有非易失性,多比特存储,可反复擦写和易于读写等特点。复旦大学包文中教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于单层MoS2晶体管的两晶体管一电容(2T-1C)单元构架[1]。经过实验证明,该构架十分适用于AI计算。在该构架中,存储单元是一个类似1T-1C的动态随机存储器(DRAM),其继承了DRAM读写速度快和耐反复擦写的优点。此外,MoS2晶体管极低的漏电流使得多层级电压在电容中有更长的存留时间。单个MoS2的电学特性还允许利用电容中的电压对漏电压进行倍增,然后进行模拟计算。乘积累加结果可以通过汇合多个2T-1C单元的电流实现。实验结果证明,基于此构架的芯片所训练的神经网络识别手写数字可达到90.3%。展示出2T-1C单元构架在未来AI计算领域的潜力。相关工作发表在《Nature Communication》(IF=17.694)。 图1. 两晶体管一电容(2T-1C)单元构架和使用晶圆尺寸的MoS2所制备的集成电路。(a)使用化学气相沉积法(CVD)批量制备的晶圆尺寸的MoS2。(b)CVD合成的MoS2在不同位置的Raman光谱。(c)在2英寸晶圆上使用MicroWriter ML3制备的24个MoS2晶体管的传输特性。(d)MicroWriter ML3制备的2T-1C单元显微照片。图中比例尺为100 μm。(e)2T-1C单元电路示意图,包括储存和计算模块。(f)2T-1C单元的三维示意图,其中包括两个MoS2晶体管和一个电容组件。(g)2T-1C单元阵列的电路图。(h)典型卷积运算矩阵。 生物微流控领域器件制备酿酒酵母菌是一种具有高工业附加值的菌种,其在真核和人类细胞研究等领域也有着非常重要的作用。酿酒酵母菌由于自身所在的细胞周期不同,遗传特性不同或是所处的环境不同可展现出球形单体,有芽双体或形成团簇等多种形貌。因此获得具有高纯度单一形貌的酿酒酵母菌无论是对生物学基础性研究还是对应用领域均有着非常重要的意义。澳大利亚麦考瑞大学Ming Li课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备了一系列矩形微流控通道[2]。在制备的微流控通道中,通过粘弹性流体和牛顿流体的共同作用对不同形貌的酿酒酵母菌进行了有效的分类和收集。借助MicroWirter ML3中所采用的无掩模技术,课题组可以轻易实现对微流控传输通道长度的调节,优化出对不同形貌酵母菌进行分类的最佳参数。相关工作结果在SCI期刊《Analytical Chemistry》(IF=8.08)上发表。图2.在MicroWriter ML3制备的微流控通道中利用粘弹性流体对不同形貌的酿酒酵母菌进行微流控连续筛选。 图3.在MicroWriter ML3制备的微流控流道中对不同形貌的酿酒酵母菌的分类和收集效果。(a)为收集不同形貌酿酒酵母菌所设计的七个出口。(b)不同形貌酵母菌在通过MicroWriter ML3制备的流道后与入口处的对比。(c)MicroWriter ML3制备的微流控连续筛选器件对不同形貌的酵母菌的筛选效果。从不同出口处的收集结果可以看出,单体主要在O1出口,形成团簇的菌主要O4出口。(d)MicroWriter ML3制备的微流控器件对不同形貌的酿酒酵母菌的分类结果,单体(蓝色),有芽双体(黄色)和形成团簇(紫色)。(e)和(f)不同出口对不同形貌的酿酒酵母菌的分离和收集结果的柱状图。误差棒代表着三次实验的误差结果。 医学检测领域器件制备在新冠疫情大流行的背景下,从大量人群中快速筛查出受感染个体对于流行病学研究有着十分重要的意义。目前,新冠病毒诊断采用的普遍标准主要是基于分析逆转录聚合酶链反应,可是在检测中核酸提取和扩增程序耗时较长,很难满足对广泛人群进行筛查的要求。复旦大学魏大程教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出基于石墨烯场效应晶体管(g-FET)的生物传感器[3]。该传感器上拥有Y形DNA双探针(Y-双探针),可用于新冠病毒的核酸检测分析。该传感器中的双探针设计,可以同时靶向SARS-CoV-2核酸的ORF1ab和N基因,从而实现更高的识别率和更低的检出极限(0.03份μL&minus 1)。这一检出极限比现有的核酸分析低1-2个数量级。该传感器最快的核酸检测速度约为1分钟,并实现了直接的五合一混合测试。由于快速、超灵敏、易于操作的特点以及混合检测的能力,这一传感器在大规模范围内筛查新冠病毒和其他流行病感染者方面具有巨大的前景。该工作发表在《Journal of the American Chemical Society》(IF=16.383)。 图4. 利用MicroWriter ML3制备基于g-FET的Y形双探针生物传感器。(a)Y形双探针生物传感器进行SARS-CoV-2核酸检测的流程图。(b)选定的病毒序列和探针在检测SARS-CoV-2时所靶向的核酸。ORF1ab: 非结构多蛋白基因 S: 棘突糖蛋白基因 E: 包膜蛋白基因 M: 膜蛋白基因 N: 核衣壳蛋白基因。图中数字表示SARS-CoV-2 NC_045512在GenBank中基因组的位置。(c)经过MicroWriter ML3光刻制备的生物传感器的封装结果。图中的比例尺为1 cm。(d)通过MicroWriter ML3制备的石墨烯通道的光学照片。(e)在石墨烯上的Cy3共轭Y型双探针。图中的比例尺为250 μm。 二维材料场效应管器件制备石墨烯的发现为人类打开了二维材料的大门,经历十多年的研究,二维材料表现出的各种优良性能依然吸引着人们。然而,在工业上大规模应用二维材料仍然存在着很多问题,所制成的器件不能符合工业标准。近日,复旦大学包文中教授课题组通过利用机器学习 (ML) 算法来评估影响工艺的关键工艺参数MoS2顶栅场效应晶体管 (FET) 的电气特性[4]。晶圆尺寸的器件制备的优化是利用先利用机器学习指导制造过程,然后使用小型台式无掩膜直写光刻系统MicroWriter ML3进行制备,最终优化了迁移率、阈值电压和亚阈值摆幅等性能。相关工作结果发表在《Nature Communication》(IF=17.694)。图5. MoS2 FETs的逻辑电路图。(a),(b),(c)和(d)各类电压对器件的影响。(e)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的正反器和(f)相应实验结果(g)使用小型台式无掩膜直写光刻系统MicroWriter ML3制备的加法器和(h)相应的实验结果。图6. 利用MoS2 FETs制备的模拟,储存器和光电电路。(a)使用MicroWriter ML3无掩膜光刻机制备的环形振荡器和(b)相应的实验结果。(c)通过MicroWriter ML3制备的基于MoS2 FETs制备的存储阵列和(d-f)相应的实验结果。(g)利用MicroWriter ML3制备的光电电路和(h-i)相应的表现结果。图7. 使用小型台式无掩膜直写光刻系统MicroWriter ML3在晶圆上制备MoS2场效应管。(a)MicroWriter ML3在两寸晶圆上制备的基于MoS2场效应管的加法器。(b),(c)和(d)在晶圆上制备加法器的运算结果。 钙钛矿材料柔性器件制备质子束流的探测在光学基础物理实验和用于癌症治疗的强子疗法等领域是十分重要的一项技术。传统硅材料制备的场效应管装置由于价格昂贵很难被大规模用于质子束流的探测。塑料闪烁体和闪烁纤维也可以被用于质子束流的探测。可是基于上述材料的设备需要复杂的同步和校正过程,因此也很难被大规模推广应用。在最近十年间科学家把目光投向了新材料,为了找出一种同时具有出色的力学性能和造价低廉的材料,用以大规模制质子束流探测设备。钙钛矿材料近来被认为是制备质子束流探测器的理想材料。首先,钙钛矿材料可以通过低温沉积的方法制备到柔性基底上。第二,该材料的制造成本相对较低。钙钛矿材料已被用于探测高能光子,阿尔法粒子,快中子和热中子等领域。对于利用钙钛矿材料制备的探测器探测质子束的领域尚属空白。近日,意大利博洛尼亚大学Ilaria Fratelli教授课题组利用小型台式无掩膜直写光刻系统MicroWriter ML3制备出用于质子束探测的3D-2D混合钙钛矿柔性薄膜检测器[5]。在5MeV质子的条件下,探测器的探测束流范围为从4.5 × 105 到 1.4 × 109 H+ cm&minus 2 s&minus 1,可连续检测的辐射最高敏感度为290nCGy&minus 1mm&minus 3,检测下限为72 μGy s&minus 1。该工作结果发表在学术期刊《Advanced Science》(IF=17.521)。图8. MicroWriter ML3在PET柔性基板上制备的3D-2D钙钛矿薄膜器件。(A)MAPbBr3 (3D) 和(PEA)2PbBr4 (2D)钙钛矿材料的结构示意图。(B)通过MicroWriter ML3无掩模激光直写机制备出的检测器,图中标尺长度为500 μm。(c)3D-2D混合钙钛矿材料的低掠射角XRD结果。(d)3D-2D混合钙钛矿材料的AFM表面形貌图。图9. 3D-2D钙钛矿材料的电学和光电学方面的性能。(A)由MicroWriter ML3无掩模光刻机制备柔性器件。(B)通过MicroWriter ML3制备的柔性器件在不同弯曲程度条件下的电流-电压曲线图。(C)3D-2D钙钛矿材料柔性器件的PL光谱结果。(D)3D-2D钙钛矿材料柔性器件的紫外-可见光光谱。参考文献[1] Y. Wang, et al. An in-memory computing architecture based on two-dimensional semiconductors for multiply-accumulate operations. Nature Communications, 12, 3347 (2021).[2] P. Liu, et al. Separation and Enrichment of Yeast Saccharomyces cerevisiae by Shape Using Viscoelastic Microfluidics. Analytical Chemistry, 2021, 93, 3, 1586–1595.[3] D. Kong, et al. Direct SARS-CoV-2 Nucleic Acid Detection by Y-Shaped DNA Dual-Probe Transistor Assay. Journal of the American Chemical Society, 2021, 143, 41, 17004.[4] X. Chen, et al. Wafer-scale functional circuits based on two dimensional semiconductors with fabrication optimized by machine learning. Nature Communications, 12, 5953 (2021).[5] L. Basirico, et al. Mixed 3D–2D Perovskite Flexible Films for the Direct Detection of 5 MeV Protons. Advanced Science, 2023,10, 2204815. 小型台式无掩膜直写光刻系统MicroWriter ML3简介小型台式无掩膜直写光刻系统MicroWriter ML3由英国剑桥大学卡文迪许实验室主任/英国皇家科学院院士Cowburn教授根据其研究工作的需要而专门设计开发的科研及研发生产光刻利器。 图10. a)小型台式无掩膜直写光刻系统MicroWriter ML3。MicroWriter ML3 b)在正胶上制备线宽为400 nm的结构,c)正胶上制备的电极结构,d)在SU8负胶上制备的高深宽比结构和e)灰度微结构。 MicroWriter ML3的优势:☛ 实验成本低:相比于传统光刻机,该光刻系统无需掩膜板,同时它也可以用来加工掩膜板,年均可节省成本数万元;☛ 实验效率高:通过在计算机上设计图案就可轻松实现不同的微纳结构或器件的加工,同时具有多基片自动顺序加工功能;☛ 光刻精度高:系统具有多组不同分辨率的激光加工模块(0.4 μm,0.6 μm, 1 μm,2 μm, 5 μm),且均可通过软件自由切换;☛ 加工速度快:最高可实现180 mm2/min的快速加工;☛ 具有3D加工能力:256级灰度,可实现Z方向的不同深浅的加工;☛ 适用范围广:可根据光刻需求的不同,配备365 nm,385 nm和405 nm波长光源或安装不同波长双光源;☛ 使用成本低:设备的采购,使用和维护成本低于常规的光刻系统。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制