当前位置: 仪器信息网 > 行业主题 > >

激光刻蚀机

仪器信息网激光刻蚀机专题为您提供2024年最新激光刻蚀机价格报价、厂家品牌的相关信息, 包括激光刻蚀机参数、型号等,不管是国产,还是进口品牌的激光刻蚀机您都可以在这里找到。 除此之外,仪器信息网还免费为您整合激光刻蚀机相关的耗材配件、试剂标物,还有激光刻蚀机相关的最新资讯、资料,以及激光刻蚀机相关的解决方案。

激光刻蚀机相关的资讯

  • 激光集成到FIB室中 VS 独立的激光刻蚀和PFIB协同处理,哪个更好?
    通过激光刻蚀去除所需位点外围的大部分材料,再通过FIB切割和抛光得到横截面,两种技术相结合最终实现了超大尺寸样品处理所需的速度和精度。而这种组合方式的最新阶段是采用激光刻蚀和PFIB刻蚀实现协同处理,进一步提高分析通量、效率和灵活性。激光集成到FIB室中 VS 独立的激光刻蚀和PFIB协同处理 效率提高至少2,000倍 激光刻蚀提供的最大铣削速率比镓源FIB快约100,000倍,比PFIB快约2,000倍,同时仍保持针对特定位点的足够铣削精度。将激光刻蚀(初始切削材料)与PFIB(最终切割和抛光)相结合可以将制备大尺寸横截面所需的总时间减少95%,在某些情况下甚至更多。如图1显示了镓源FIB、PFIB和激光刻蚀的光斑大小与材料去除率之间的关系。相邻表格提供了这3种技术在最大铣削和最终抛光束流条件下材料去除率的数值比较。如图1:(左)所示,镓源FIB、PFIB和激光刻蚀占据不同的区域,其特点是光斑尺寸(光束直径)和材料去除率之间的制衡。一般来说,较高的束流或束流强度会更快地去除材料,但精度较低。表格(右)比较了材料在三种技术下最大束流和典型抛光条件下的束流(或激光的离子束等效电流)和材料去除率关系。此外,还显示了镓源FIB与激光刻蚀、PFIB与激光刻蚀的去除率之比。将激光集成到FIB室中后,系统一次只能使用一个功能,而其他功能处于空闲状态。TESCANT提供一种最新方式来实施集成显微镜技术,通过独立的激光刻蚀(microPrep PRO、3D-Micromac AG)和PFIB(TESCAN Solaris X)系统提供并行处理。两个系统都不会因为另一个系统的运行而空闲。激光刻蚀系统可以为多个联用工具准备样品,无论联用是多个FIB 还是各种其他故障分析仪器,最终结果都是增加了分析通量和产率,并降低了每次分析的成本。激光刻蚀系统提供约10微米的铣削精度(束斑尺寸)和约3微米的光束定位精度(以厘米为移动范围),使其快速准确地去除立方毫米的材料。基于电路设计的CAD数据或各种FA工具的2D图像叠加的相关对准技术有助于在两个系统中以高精度找到感兴趣区。● 独立系统中的协同处理优点 ●1, 超短激光脉冲最大限度地减少了激光引起热影响区,从而减少了必须通过PFIB中的最终抛光去除的材料量。2. 单独在激光刻蚀系统中切削材料可避免PFIB仓内污染的风险,其中污染物会干扰仪器本身和分析结果。3. 样品同时可以在各种气体环境中通过激光进行处理,并且可以使用解决方案来允许系统之间的转移,而不会暴露在周围环境中。4. 激光刻蚀工具上的平台提供具有六个自由度的精确自动化运动,使其能够在需要时铣削复杂的图案。5. 在激光刻蚀过程中倾斜样品的能力对于补偿由光束能量的高斯强度分布引起的锥度特别有益。尽管它可以使用FIB抛光消除,但在激光刻蚀操作期间避免它可以大大减少FIB抛光所需的时间。6. 消除锥度对于半导体样品中准确对齐堆叠重复结构的横截面(例如TSV、锡焊球等)工艺至关重要。PFIB系统针对高深度大尺寸铣削进行了优化,它提供高达3µA的束流,每秒可去除多达1,400µm3的材料。用于最终抛光的较低离子束电流(300nA)仍可去除高达141µm3/s,即使在具有挑战性的样品上也能提供原始横截面。最具挑战性的样品是那些需要不同切削速率的硬质和软质复合材料的样品。容易产生独特的垂直形貌,描述性地称为“窗帘”。从而引起的窗帘伪影可能会掩盖后续成像中的关键细节。在切削操作过程中,我们可以通过小角度反复摇摆样品减少窗帘伪影。角度的轻微变化使离子束能够更好地进入材料下方较硬的屏蔽区域,并平滑铣削过程。对于束流/铣削速率较高的FIB,窗帘效应可能是一个挑战,就像大通量工作流程中高速铣削所需的那些一样。对于该问题,PFIB系统配置的摆动台提供了一种自动摆动模式,可以予以解决在某些材料中,包括碳化硅、聚酰亚胺、玻璃等,产生的另一种伪影-呈阶梯式。阶梯一旦出现,就会自我强化,很难移除。我们用一种创新的解决方案(True x-section,用户指导程序)来消除了阶梯效应,比大面积FIB沉积速度快得多:允许操作人员在要切片的区域放置一个小的保护硬面罩。案例图2至图6显示了使用激光刻蚀和PFIB来曝光电路元件以进行成像和分析的示例。每个示例都包括每次操作所花费的时间以及相对于单独使用PFIB制备样品所节省的总时间。图2:先进芯片集成中间的图像显示了一个超大的横截面,宽几百微米,深几百微米,穿过集成电路和连接到插入器的焊锡球和触点。左边和右边的图像显示了该截面的细节,左边是IC的放大倍数更高的图像,右边是锡球和接触垫之间的空隙。横切过程在激光刻蚀仪器中耗时10分钟,在PFIB中耗时90分钟,与单独使用PFIB相比节省了70%的时间。图3:锥度校正(右)显示了在高带宽存储器(HBM)器件中硅穿孔(TSV)堆栈的数百微米深和宽的横截面,它说明了系统切割贯通每个TSV中心的精确垂直横截面的能力。在激光刻蚀过程中倾斜样品以补偿锥角对于减少最终PFIB铣削操作要去除的材料量至关重要,从而减少横截面所需的总时间。横截面在激光刻蚀仪器中耗时10分钟,在PFIB中耗时120分钟,与单独的PFIB相比,节省了80%的时间。图4:FIB层析成像的激光刻蚀准备FIB的层析成像通过FIB逐层切片的方式,从捕获的一系列图像中重建了样本体积的3D模型。准备工作首先使用激光刻蚀从一个立方体/矩形体的三面去除材料,如“俯视图”(左)所示。在此视图中,最终将与FIB连续剖切的面位于立方体形状的底部。在“正视图”(中间)中,样品已旋转90°以显示横截面。插图(右)放大了横截面的一个区域以显示其切削质量。使用激光烧蚀制备样品需要10分钟,与PFIB 相比节省了70%的时间。图5: 有机发光二极管面板手机和其他移动设备的显示器含有关键的微结构,在样品制备过程中容易被机械应力损坏。这种精致的样品需要一种特殊的处理方法:在PFIB进行最后切削和抛光之前,在边缘的一个几毫米长的区域被有意地用激光削尖。左上方的第3张图像显示了激光刻蚀切口。下图显示了经过PFIB切削和抛光后长约0.5mm截面(PFIB可以切割和抛光长达1mm的截面)。最右边的顶部图像显示了最终横截面的更高倍放大图。横切面在激光刻蚀中花费了74分钟,在PFIB中花费了165分钟,与单独PFIB相比节省了95%的时间。图6: 微机电系统MEMS设备对样品制备过程中的机械损伤特别敏感。在这个例子中,激光刻蚀被用来打开一个窗口,进入封装的 MEMS 设备进行检查和分析。 节省的时间从70%到95%以上 激光刻蚀功能嵌入FIB系统的系统本质上是低效的,因为一次只能使用一种功能。该技术的最新迭代在独立的激光刻蚀和PFIB中实现并行处理,通过允许同时在两种工具中进行处理来提高通量和产率。这些工具通过相关的图像对齐程序和CAD叠加导航进行集成。在并行配置中,单个激光刻蚀系统可以供给多个FIB和其它FA工具。这种方法具有消除污染FIB系统的风险的优势,其中污染物会干扰成像和分析或损坏系统。我们展示了几个大的、高质量的横截面示例,并计算出与单独使用PFIB制备相比节省的时间,所示示例中节省的时间从70%到95%以上。
  • 我国学者成功开发新型5 nm超高精度激光光刻加工方法
    p 近日,中国科学院苏州纳米技术与纳米仿生研究所张子旸研究员与国家纳米中心刘前研究员合作,在NanoLetters上发表了研究论文,报道了一种他们开发的新型5nm超高精度激光光刻加工方法。   /pp  据悉,研究团队设计开发了一种新型三层堆叠薄膜结构。在无机钛膜光刻胶上,采用双激光束交叠技术,通过精确控制能量密度及步长,实现了1/55衍射极限的突破,达到了最小5nm的特征线宽。/pp  此外,研究团队利用这种超分辨的激光直写技术,实现了纳米狭缝电极阵列结构的大规模制备。同时,该团队还利用发展的新技术制备出了纳米狭缝电极为基本结构的多维度可调的电控纳米SERS传感器。/pp  值得一提的是,研究团队所开发的具有完全知识产权的激光直写设备,利用了激光与物质的非线性相互作用来提高加工分辨率,其有别于传统的缩短激光波长或增大数值孔径的技术路径;并打破了传统激光直写技术中受体材料为有机光刻胶的限制,可使用多种受体材料,极大地扩展了激光直写的应用场景。/pp  目前,该工作得到了国家重点研究计划项目、国家自然科学基金、Eu-FP7项目、中国博士后科学基金的支持。/p
  • 激光外差干涉技术在光刻机中的应用
    激光外差干涉技术在光刻机中的应用 张志平*,杨晓峰 复旦大学工程与应用技术研究院上海市超精密运动控制与检测工程研究中心,上海 201203摘要 超精密位移测量系统是光刻机不可或缺的关键分系统之一,而基于激光外差干涉技术的超精密位移测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程和数米每秒的测量速度等优点,是目前唯一能满足光刻机要求的位移测量系统。目前应用于光刻机的超精密位移测量系统主要有双频激光干涉仪和平面光栅测量系统两种,二者均以激光外差干涉技术为基础。本文将分别对这两种测量系统的原理、优缺点以及在光刻机中的典型应用进行阐述。关键词 光刻机;外差干涉;双频激光干涉仪;平面光栅1 引言集成电路产业是国家经济发展的战略性、基础性产业之一,而光刻机则被誉为集成电路产业皇冠上的明珠[1]。作为光刻机三大指标之一的套刻精度,是指芯片当中上下相邻两层电路图形的位置偏差。套刻精度必须小于特征图形的1/3,比如14 nm节点光刻机的套刻精度要求小于5.7 nm。影响套刻精度的重要因素是工件台的定位精度,而工件台定位精度确定的前提则是超精密位移测量反馈,因此超精密位移测量系统是光刻机不可或缺的关键分系统之一[2-4]。随着集成电路特征尺寸的不断减小,对位置测量精度的需求也不断提高;同时,为了满足光刻机产率不断提升的需要,掩模台扫描速度也在不断提高,甚至达到 3 m/s 以上;此外,为了满足大尺寸平板显示领域的需求,光刻机工件台的尺寸和行程越 来越大,最大已达到 1. 8 m×1. 5 m;最后,为了获得工件台和掩模台良好的同步性能,光刻机还要求位置测量系统具备多轴同步测量的功能,采样同步不确定性优于纳秒级别[5-8]。 综上,光刻机要求位置测量系统同时具备亚纳米级分辨率、纳米级精度、米级量程、数米每秒测量速度、闭环反馈以及多轴同步等特性。目前,在精密测量领域能同时满足上述测量要求的,只有外差干涉测量技术。 本文分别介绍外差干涉测量技术原理及其两 种具体结构——双频激光干涉仪和平面光栅测量系统,以及外差干涉技术在光刻机中的典型应用。 2 外差干涉原理 2. 1 拍频现象 外差干涉又称为双频干涉或者交流干涉,是利用“拍频”现象,在单频干涉的基础上发展而来的一 种干涉测量技术。 假设两列波的方程为 x1 = A cos ω1 t , (1) x2 = A cos ω2 t 。 (2) 叠加后可表示为(3)拍频定义为单位时间内合振动振幅强弱变化 的次数,即 v =| (ω2 - ω1)/2π |=| v 2 - v 1 | 。 (4) 波 x1、x2 以及合成后的波 x 如图 1 所示,其中包 络线的频率即为拍频,也称为外差频率。如果其中一个正弦波的相位发生变化,拍频信号的相位会发生完全相同的变化,即外差拍频信号将完整保留原始信号的相位信息。 图 1. 拍频示意图Fig. 1. Beat frequency diagram对于激光而言,因为频率很高(通常为 1014 Hz 量级),目前的光电探测器无法响应,但可以探测到两束频率相近的激光产生的拍频(几兆到几十兆赫兹)。因此拍频被应用到激光领域,发展成激光外差干涉技术。2. 2 外差干涉技术 由拍频原理可知 ,所谓外差就是将要接收的信号调制在一个已知频率信号上,在接收端再将该调制信号进行解调。由于高频率的激光信号相位变化难以精确测量,但利用外差干涉技术可以用低频拍频信号把高频信号的 相位变化解调出来,将大大降低后续精确鉴相的难度。因此,外差技术最显著的特点就是信号以交流的方式进行传输和处理。 与单频干涉技术相比,外差干涉技术的突出优点是:1)由于被测对象的相位信息是加载在稳定的差频(通常几兆到几十兆赫兹)上,因此光电探测时避过了低频噪声区,提高了光电信号的信噪比。例如在外界干扰下,测量光束光强衰减 50% 时,单频干涉仪很难正常工作,而外差干涉仪在光强衰减 90% 时仍能正常工作 ,因此更适用于工业现场 。 2)外差干涉可以根据差频信号的增减直接判别运动方向,而单频干涉技术则需要复杂的鉴相系统来 判别运动方向。单频干涉技术与外差干涉技术对比如表 1 所示。表 1. 单频干涉技术与外差干涉技术对比Table 1. Comparison between homodyne interferometry and heterodyne interferometry3双频激光干涉仪 3. 1 双频激光干涉仪原理 双频激光干涉仪是在单频激光干涉仪的基础上结合外差干涉技术发展起来的,其原理如图 2 所 示。双频激光器发出两列偏振态正交的具有不同频率的线偏振光,经过偏振分光器后光束被分离。 图 2. 双频激光干涉仪原理图Fig. 2. Schematic diagram of dual frequency laser interferometer设两束激光的波动方程为 E1 = E R1 cos ( 2πf1 t ) E2 = E R2 cos ( 2πf2 t ) , (5) 式中:ER1和 ER2为振幅;f1和 f2为频率。 偏振态平行于纸面的频率为 f1 的光束透过干涉仪后,被目标镜反射回干涉仪。当被测目标镜移动时,产生多普勒效应,返回光束的频率变为 f1 ± Δf, Δf 为多普勒偏移量,它包含被测目标镜的位移信息。经过干涉镜后,与频率为 f2 的参考光束会合,会合后光束发生拍频,其光强 IM函数为 (6) 式(6)包含一个直流量和一个交流量,经光电探测器转换为电信号,再进行放大整形后,去除直流量,将交 流量转换为一组频率为 f1 ± Δf- f2的脉冲信号。从双频激光器中输出频率为 f1 - f2 的脉冲信 号,作为后续电路处理的基准信号。测试板卡采用减法器通过对两列信号的相减,得到由于被测目标 镜的位移引起的多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为 (7) 式中:λ 为激光的波长;N 为干涉的条纹数。因此, 只要测得条纹数,就可以计算出被测物体的位移。 3. 2 系统误差分析 双频激光干涉仪的系统误差大致由三部分组成:仪器误差、几何误差以及环境误差,如表 2 所示。 三种误差中,仪器误差可控制在 2 nm 以内;几何误 差可以通过测校进行动态补偿,残差可控制在几纳米以内;环境误差的影响最大,通常可达几十纳米到几微米量级,与测量区域的环境参数(温度、压 力、湿度等)有关,与量程几乎成正比,因此大量程测量时,需要对环境参数进行控制。 表 2. 双频激光干涉仪系统误差分解Table 2. System error of dual frequency laser interferometer4 平面光栅测量系统 双频激光干涉仪在大量程测量时,精度容易受 温度、压力、湿度等环境因素影响,研究者们同样基于外差干涉原理研发了平面光栅测量系统,可克服双频激光干涉仪的这一缺点。 4. 1 基于外差干涉的光栅测量原理 众所周知 ,常规的光栅测量是基于叠栅条纹的,具有信号对比度差、精度不高的缺点。基于外差干涉的光栅测量原理如图 3 所示,双频激光器发出频率 f1 和 f2 的线偏振光,垂直入射到被测光栅表面,分别进行+1 级和−1 级衍射,衍射光经过角锥反射镜后再次入射至被测光栅表面进行二次衍射, 然后会合并沿垂直于光栅表面的方向返回。由于被测光栅与光栅干涉仪发生了相对运动,因此,返回的激光频率变成了 f1 ± Δf和 f2 ∓ Δf,其中 Δf为多 普勒频移量,它包含被测目标镜的位移信息。 图 3. 基于外差干涉的光栅测量原理Fig. 3. Principle of grating measurement based on heterodyne interference会合后的光束 f1 ± Δf 和 f2 ∓ Δf 发生拍频,其频率为 ( f1 ± Δf ) - ( f2 ∓ Δf ) = ( f1 - f2 ) ± 2Δf。(8) 式(8)的信号与双频激光器中输出频率为 f1 - f2 的 参考信号相减,得到多普勒频移 Δf。被测目标镜的位移 L 与 Δf的关系可表示为(9) 式中 :p 为光栅的栅距 ;N 为干涉的条纹数 。 因此,只要测得条纹数 ,就可以计算出被测物体的位移。 上述原理推导是基于一维光栅刻线的,只能测量一维运动。为了获得二维测量,只需将光栅的刻线由一维变成二维(即平面)即可。 4. 2 两种测量系统优缺点对比 由此可知,基于外差干涉的光栅测量原理与双频激光干涉仪几乎完全相同,主要的差别是被测对象由反射镜换成了衍射光栅。两种测量系统的优缺点如表 3 所示。表 3. 双频激光干涉仪与光栅测量系统对比Table 3. Dual frequency laser interferometer versus gratingmeasurement system5外差干涉测量在光刻机中的应用 发展至今,面向 28 nm 及以下技术节点的步进扫描投影式光刻机已成为集成电路制造的主流光刻机。作为光刻机的核心子系统之一的超精密工件台和掩模台,直接影响着光刻机的关键尺寸、套刻精度、产率等指标。而工件台和掩模台要求具有高速、高加速度、大行程、超精密、六自由度(x、y 大 行程平动,z 微小平动,θx、θy、θz微小转动)等运动特点,而实现这些运动特点的前提是超精密位移测量反馈。因此,基于外差干涉技术的超精密位移测量子系统已经成为光刻机不可或缺的组成部分。 4. 光刻机中的多轴双频激光干涉仪[10]Fig. 4. Multi-axis dual frequency laser interferometer in lithography machine[10]图 4 为典型的基于多轴双频激光干涉仪的光刻机工件台系统测量方案[10],在掩模台和硅片台的侧面布置多个多轴激光干涉仪,对应地在掩模台和硅 片台上安装长反射镜;通过多个激光干涉仪的读数解算出掩模台和硅片台的六自由度位移。 然而,随着测量精度、测量行程、测量速度等运动指标的不断提高,双频激光干涉仪由于测量精度易受环境影响、长反射镜增加运动台质量致使动态性能差等问题难以满足日益提升的测量需求。因 此,同样基于外差干涉技术的平面光栅测量系统成为了另一种选择[8]。 光刻机工件台平面光栅测量技术首先由世界光刻机制造巨头 ASML 公司取得突破。该公司于 2008 年 推 出 的 Twinscan NXT:1950i 浸 没 式 光 刻机,采用了平面光栅测量技术对 2 个工件台的六自 由度位置进行精密测量。如图 5 所示,该方案在主基板的下方布置 8 块大面积高精度平面光 栅(约 400 mm×400 mm),在两个工件台上分别布置 4 个 平面光栅读数头(光栅干涉仪),当工件台相对于平 面光栅运动时,平面光栅读数头即可测出工件台的 运动位移[2,5,9]。图 5. ASML 光刻机的平面光栅测量方案[2,5,9]Fig. 5. Plane grating measurement scheme of ASML lithography machine[2,5,9]相比多轴双频激光干涉仪测量方案,平面光栅测量方案具有以下优点:1)测量光路短(通常小于 20 mm),因此测量重复精度和稳定性对环境变化不 敏感;2)工件台上无需长反射镜,因此质量更轻、动态性能更好。 然而,平面光栅测量方案也有其缺点:1)大面积高精度光栅制造难度太大;2)由式(9)可知,位移 测量结果以栅距 p 为基准,然而受栅距均匀性限制, 测量绝对精度不高。为了获得较好的精度和线性度,往往需要利用双频激光干涉仪进行标定。 面临极端测量需求的挑战 ,Nikon 公 司 在 NSR620D 光刻机中采用了平面光栅和双频激光干涉仪混合测量的技术方案[9],如图 6 所示。该方案 将平面光栅安装在工件台上表面,而将光栅读数头安装在主基板下表面,同时增加了双频激光干涉仪,结合了平面光栅测量系统和双频激光干涉仪的 优点。在读头与读头切换时采用双频激光干涉仪进行在线校准。 图 6. Nikon光刻机混合测量方案[9]Fig. 6. Hybrid measurement scheme of Nikon lithography machine [9]6激光外差干涉系统的发展趋势 无论是双频激光干涉仪还是平面光栅测量系统,要想获得纳米级测量精度,既需要提高测量系统本身的精度,更需要从使用的角度努力,即“三分 靠做,七分靠用”。 就激光外差干涉测量系统本身而言,误差源主要来自于光学非线性误差。在外差干涉测量系统 中,由于光源及光路传输过程各光学器件性能不理想或装调有偏差,会带来两个频率的光混叠现象, 即原本作为测量信号频率 f1(或 f2)的光中混杂了频 率 f2(或 f1)的光,或原本作为参考信号频率 f2(或 f1) 的光中混杂了频率 f1(或 f2)的光。在信号处理中该混叠的频率信号会产生周期性的光学非线性误差。尽管目前主流的双频激光干涉仪厂家已经将非线性误差控制在 2 nm 以内[10- 12],但应用于 28 nm 以下光刻机时仍然需要进一步控制该误差。国内外众多学者从非线性误差来源、检测和补偿等角度出发,进行了大量研究并取得了丰硕成果[13- 17]。这些成果有望对非线性误差的动态补偿提供理论支持。 从应用角度,研究热点主要集中在应用拓展、 安装误差及其测校算法、环境参数控制及其补偿方法研究等方面。在应用拓展方面,激光外差干涉技术除了应用于测长之外,还在小角度测量、直线度、平面度、反馈测量等方面取得了应用[18- 20]。在安装误差和环境误差补偿算法方面,主要聚焦于多自由度解耦算法、大气扰动补偿等研究方向[4,21- 27]。 7 总结 阐述了光刻机对位移测量系统大量程、亚纳米 分辨率、纳米精度、高测速及多轴同步的苛刻要求。 概述了激光外差干涉技术原理,指出目前为止,激光外差干涉技术是唯一能满足光刻机上述要求的超精密位移测量技术。并综述了两种基于激光外差干涉技术的测量系统:双频激光干涉仪和平面光栅测量系统。总结了这两种位移测量系统在光刻机中的典型应用,以及激光外差干涉技术的当前研究热点和发展趋势。全文详见:激光外差干涉技术在光刻机中的应用.pdf
  • 新型激光直写无掩模光刻机在孚光精仪发布问世
    孚光精仪在上海,天津同时发布一款新型激光直写式雾无掩模光刻系统。这款无掩模光刻机是一款高精度的激光直写光刻机。这套无掩模光刻机具有无掩模技术的便利,大大提高影印和新产品研发的效率,节省时间,是全球领先的无掩模光刻系统。这款激光直写无掩模光刻机直接用375nm或405nm紫外激光把图形写到光胶衬底上。 激光直写无掩模光刻系统特色尺寸:925x925x1600mm内置计算机控制接口激光光源:375nm或405nm视频辅助定位系统自动聚焦设置 详情浏览:http://www.f-opt.cn/guangkeji.html 激光直写无掩模光刻机参数线性写取速度:500mm/s位移台分辨率:100nm重复精度: 100nm晶圆写取面积:1—6英寸衬底厚度:250微米-10毫米激光点大小:1-100微米准直精度:500nm Email: info@felles.cn 或 felleschina@outlook.com Web: www.felles.cn (激光光学精密仪器官网) www.felles.cc (综合性尖端测试仪器官网) www.f-lab.cn (综合性实验室仪器官网) Tel: 021-51300728, 4006-118-227
  • 大族激光:光刻机已实现小批量销售
    2022年4月18日,大族激光科技产业集团股份有限公司召开业绩说明会,参会人员为通过线上交流平台参与公司 2021 年度业绩网上说明会的投资者。说明会上,大族激光针对目前公司光刻机研发进度和分辨率问题进行回复并表示,公司光刻机项目分辨率 3-5μm,主要聚焦在分立器件、LED 等领域的应用,已实现小批量销售。针对大族激光在半导体领域目前的规划,光刻机新的进展,以及下一步在半导体领域是否和华为展开合作等问题,大族激光表示,得益于 Mini-Led 对行业设备需求的带动和公司市场占有率的持续提升,公司半导体及泛半导体行业晶圆加工设备快速增长,实现营业收入 6.69 亿元,同比增长 140.62%。其中,LED 行业晶圆加工设备实现营业收入 4.78 亿元,同比增长 115.46%,保持市场领导地位,Mini-Led 切割、裂片、剥离、修复等设备实现大批量销售,Micro-LED巨量转移设备正在验证过程中;半导体行业晶圆加工设备实现营业收入 1.91 亿元,同比增长 239.96%,半导体激光开槽、半导体激光解键合、化合物半导体激光切割等产品实现批量销售。公司封测设备业务主体大族封测保持良好发展趋势,营业收入同比增长约 128%。公司光刻机产品主要用于分立器件领域,最新产品接近式光刻机样机已经开发完成。目前,公司在半导体领域暂未和华为展开合作。
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 一周内多所科研院校密集发布刻蚀机采购招标公告
    p 刻蚀是半导体制造工艺,微电子IC制造工艺以及微纳制造工艺中的一种相当重要的步骤。是与光刻相联系的图形化处理的一种主要工艺。所谓刻蚀,实际上狭义理解就是光刻腐蚀,先通过光刻将光刻胶进行光刻曝光处理,然后通过其它方式实现腐蚀处理掉所需除去的部分。刻蚀是半导体制造中重要的一环,其中尤以等离子体刻蚀重要。/pp 根据Gartner 估算,刻蚀设备占整体建厂设备投资的 15%左右,其中介质刻蚀和硅刻蚀设备分别能占到其中的45%以上,金属刻蚀大概占到3-4%。2018-2020年国内晶圆厂建设对应的刻蚀设备市场空间分别为150、150、160 亿元,而其中介质刻蚀设备市场需求分别为74、76、80 亿元,硅刻蚀设备市场需求分别为71、73、77 亿元,金属刻蚀设备需求分别为4.5、5、5 亿元。/pp 随着近些年半导体行业的火热,对刻蚀的研究更是成为了各大相关科研院所的一个研究热点,带动了相关刻蚀设备的采购,近日国内科研院更是接连发布数条刻蚀设备的招标公告。/pp其中,40%招标公告明确要求采购国产等离子体刻蚀机。/pp以下为本周以来的相关招标公告,/ph3一、《中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目公开招标公告》/h3p 项目概况:/pp 中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目 招标项目的潜在投标人应在www.o-science.com获取招标文件,并于2020年09月01日 14点30分(北京时间)/pp前递交投标文件。/pp 项目编号:OITC-G200290831/pp 项目名称:中国科学院微电子研究所感应耦合等离子体刻蚀机采购项目/pp 预算金额:200.0 万元(人民币)/pp 最高限价(如有):200.0 万元(人民币)/pp 采购需求:/ppbr//ppimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202008/uepic/d5d2bebd-0c45-4353-81be-b55bfb616c41.jpg" title="1.png" alt="1.png"/ br//ppbr//ph3二、《南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目公开招标公告》/h3p 项目概况:/pp 南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目 招标项目的潜在投标人应在公允(天津)招标代理有限公司天津市南开区红旗南路仁爱濠景国际大厦A座6层601室)获取招标文件,并于2020年08月27日 09点30分(北京时间)前递交投标文件。/pp 项目编号:NK2020S040N/pp 项目名称:南开大学材料科学与工程学院介质和磁性材料感应耦合等离子体刻蚀机采购项目/pp 预算金额:250.79 万元(人民币)/pp 采购需求:详情请见项目需求书附件/ph3三、《山东大学激光离子束光刻刻蚀系统采购公开招标公告》/h3p 项目概况:/pp 山东大学激光离子束光刻刻蚀系统采购 招标项目的潜在投标人应在济南市高新区舜华路2000号舜泰广场6号楼2101室获取招标文件,并于2020年09月08日 14点30分(北京时间)前递交投标文件。/pp 项目编号:SDSS20200639-F014/pp 项目名称:山东大学激光离子束光刻刻蚀系统采购/pp 预算金额:500.0 万元(人民币)/pp 最高限价(如有):500.0 万元(人民币)/pp 采购需求:山东大学采购激光离子束光刻刻蚀系统一套,用于研究开发相关科研工作。故拟采购一套激光离子束光刻刻蚀系统。(详见公告附件)/ph3四、《西北师范大学物理与电子工程学院基础与应用研究平台建设项目公开招标公告》/h3p 西北师范大学招标项目的潜在投标人应在甘肃省公共资源交易网(http://ggzyjy.gansu.gov.cn/)获取招标文件,并于2020-09-04 11:00:00(北京时间)前递交投标文件。/pp 项目编号:GSJY-ZC2020141/pp 项目名称:西北师范大学物理与电子工程学院基础与应用研究平台建设项目/pp 预算金额:194.23(万元)/pp 最高限价:194.23(万元)/pp 采购需求:双通道系统数字源表(进口产品已论证) 1套、加热型磁力搅拌器 1台、手套箱 1台、压力可控型电动纽扣电池封装机 1台、控温磁力搅拌器 1台、span style="color: rgb(255, 0, 0) "strong等离子刻蚀机(进口产品已论证) 1套/strong/span、电子防潮箱 1台、等离子体清洗机 1套、视频接触角张力测量仪 1套、实验室纯水机 1 台、鼓风干燥箱 1台、手套箱配套机械泵 1套、正入射软X射线谱仪 1套、激光诱导击穿光谱-拉曼联用谱仪 1套、双模式双恒电位仪(进口产品已论证) 1套、高精度分析天平 1台、旋转环盘电极(进口产品已论证) 1套、金属空气电池测试系统(进口产品已论证) 1套/ph3五、《北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包)招标公告》/h3p 项目概况:/pp 北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包)招标项目的潜在投标人应在北京市东城区朝内大街南竹杆胡同6号北京INN3号楼9层获取招标文件,并于2020年09月02日09点30分(北京时间)前递交投标文件。/pp 项目编号:BJJQ-2020-712-01、02、03/pp 项目名称:北京工业大学20内涵发展定额-教育部B类重点实验室建设经费项目(第2包)/pp 预算金额:人民币325万元/pp 第2包分包预算金额:人民币111.00万元/pp 采购需求:等离子体化学气相沉积台1套、strongspan style="color: rgb(255, 0, 0) "高密度等离子刻蚀系统1套/span/strong,不允许采购进口产品/pp 随着我国对半导体行业的大力支持,大量科研院所开始采购相关设备,半导体行业的生产检测等仪器设备前景广阔。/p
  • 大族激光:光刻机项目已实现小批量销售
    1月14日,大族激光在互动平台表示,目前公司在研光刻机项目分辨率为3-5μm,主要聚焦在5G通讯配套分立器件、LED、Mini/Micro-LED新型显示等方面的应用,且光刻机项目已实现小批量销售。值得关注的是,近日,深圳监管局披露了大族激光的子公司大族数控首次公开发行股票并上市辅导备案信息。据披露,大族数控拟首次公开发行股票并在境内证券交易所上市,现已接受中信证券的辅导,并于2020年12月31日在深圳证监局进行了辅导备案。据了解,大族数控是由大族激光组建的全资子公司,是集技术研究、开发、生产和销售为一体的高科技企业。天眼查显示,大族数控注册资本为37800万人民币,于2014年12月30日及2016年3月1日,分别获得了由大族控股和大族激光投资的两轮战略融资。在产品方面,其专业开发和生产具有国内领先水平的HANS系列PCB激光设备、PCB数控钻铣机HANS系列PCB激光设备,以及PCB数控钻铣机是集激光技术、机械学、电子学、计算机学、气动学和光学于一体,是印刷电路板行业的专用设备,适用于印刷电路板的精密钻孔和异形槽、孔、边 框的铣削加工。公司于2006年通过ISO-9001质量认证。
  • 直播预告!第二届半导体工艺与检测技术网络会议之光刻与刻蚀技术
    仪器信息网讯 半导体产业作为现代信息技术产业的基础,已成为社会发展和国民经济的基础性、战略性和先导性产业,是现代日常生活和未来科技进步必不可少的重要组成部分。当前,全球半导体科技和产业的竞争愈演愈烈,各国围绕提升半导体领域竞争力,相继出台了一系列政策举措。半导体行业归根结底属于设备类行业,行业内素有“一代设备,一代工艺,一代产品”的说法。SEMI在SEMICON Japan 2022上发布了《2022年度总半导体设备预测报告》。报告指出,原设备制造商的半导体制造设备全球总销售额预计将在2022年创下1085亿美元的新高,连续三年创纪录,较2021创下的1025亿美元行业纪录增长5.9%。在整个制造工艺流程中,光刻和刻蚀工艺的投资最大、研发难度和技术水平最高,对应的光刻机和蚀刻机也是半导体制造中最重要的关键设备之一。针对于此,仪器信息网与电子工业出版社联合组织于5月10日-11日召开的第二届“半导体工艺与检测技术”主题网络研讨会设置了“光刻与刻蚀及其检测技术”专场,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。本次会议免费参会,报名链接:https://www.instrument.com.cn/webinar/meetings/Semicon2023/ 或扫描二维码报名光刻与刻蚀及其检测技术专场会议日程:时间报告题目演讲嘉宾专场:光刻与刻蚀及其检测技术(5月10日下午)14:00--14:30广义芯片特种紫外光刻设备研发胡松(中国科学院光电技术研究所 研究室主任/研究员)14:30--15:00海洋光学微型光谱仪在半导体领域的应用卢坤俊(海洋光学 资深技术&应用专家)15:00--15:30光刻照明系统关键技术刘俊伯(中国科学院光电技术研究所 副研究员)15:30--16:00微纳加工的干法刻蚀技术(拟)张忠山(中国科学院物理研究所 副主任工程师)嘉宾介绍:中国科学院光电技术研究所 研究室主任/研究员 胡松胡松,博士,研究员,四川省有突出贡献优秀专家,四川省学术技术带头人后备人选,博士导师,2000—2016年光电技术研究所微电子装备及生物芯片仪器研究室主任,国家专家库专家、国家02专项调研组和指南编写组专家、集成电路测试仪器与装备产业技术创新联盟专家咨询委专家,四川省重大仪器设备专家组专家,全国半导体设备和材料标准化技术委员会微光刻分会副主任,全国光电测量标委会委员,中国仪器仪表学会精密机械分会理事,中国电子工业专用设备行业协会理事。 一直从事微细光学技术与专用设备研制工作。先后承担并完成三十多项国家科技攻关、中科院重大项目、国家“863”项目、国家重大科技专项、国家重大仪器装备专项、国家自然科学基金、四川省科技攻关等。获国家科技进步三等奖两项,中科院科技进步二等奖、三等奖各一项,四川省科技进步二等奖一项、三等奖二项。近年来以副总师完成国家重大仪器装备专项项目—超分辨光刻装置研制、以项目技术首席和课题负责人完成国家02专项课题—EUV极紫外光刻真空及机械系统、以项目副总师完成国家02专项项目—NA0.75ArF曝光光学系统α样机研制。主持研发单面和双面深度曝光机、无掩模光刻机、投影光刻机、纳米压印光刻机、精密工件台、生物芯片仪器等,销售超1000台(出口50多台),为我国微细加工行业的技术进步发挥了较大作用,结束芯片设备与仪器完全依赖进口且受制于人的历史。发表科技论文150余篇,作为副主编出版专著一本,授权专利50余项,指导并毕业博士生20余名、硕士生10余名。2015年被评为四川省劳动模范,或国务院政府津贴,多次被评为中科院院、分院及研究所先进工作者、优秀导师和标兵。【摘要】广义芯片包括集成电路在内所有由光刻技术制造具体一定功能和集成度的系统,如第二代第三代化合半导体,传感器(应变,光栅,光电探测器,气体传感器等),显示器件,生物芯片,发光器件,MEMS,微光学元件,分立电子器件,通迅器件等。这些广义芯片基底种类有金属、玻璃、化合物、高分子薄膜、陶瓷等,基底尺寸变化很大,光刻工艺持殊(有的要求深曝光,有的要求3D光刻,有的要求双面套刻,有的要求边缘套刻等等)。报告重点介绍针对特种广义芯片的解决方案。海洋光学 资深技术&应用专家 卢坤俊现任海洋光学亚洲公司应用工程师主管,南京农业大学生物工程本科专业,应用化学硕士学位。主要负责光谱仪相关产品的技术支持与光谱解决方案的应用开发工作,有着10年以上的环境、智能农业、化工、消费电子、半导体及生命科学领域的光谱应用背景。【摘要】 介绍海洋光学公司及工业客户合作模式,并分享海洋光学微型光谱仪在半导体膜厚测量,CMP过程膜厚测量, PECVD过程监控,Plasma Etching终点指示以及 Plasma Cleaning过程监控中的原理及应用。中国科学院光电技术研究所 副研究员 刘俊伯刘俊伯,博士,中科院光电技术研究所微电子装备总体实验室,副研究员,硕士生导师,主要从事投影光刻装备相关核心技术研究。2017 年入选西部之光人才计划,2020 年入选中国科学院青年创新促进会,获创新基金计划资助。 承担或参与国家重大科研仪器装备项目、02专项、国家重点研发计划、国家自然科学基金、四川省应用基础研究、四川省融合类研究项目等项目,重点开展光刻机投影光刻曝光系统、综合像质原位测试、全链路逆优化仿真模型等核心技术研究,在OPT EXPRESS、OPT LASER ENG 等SCI 期刊上发表论文20余篇,获发明专利授20余项。 组织团队成功研制UVSTEP系列化投影光刻装备,在军民领域实现多台套上线应用,获首届中国光学工程学会“金燧奖”。特别在压力敏传感器领域,该型投影光刻设备打破国外光刻装备“卡脖子”现状,产生较好的社会、经济效益。中国科学院物理研究所 副主任工程师 张忠山2016于苏州大学获博士学位,2016年-2018年中国科学院声学研究所博士后,现任中国科学院物理研究所副主任工程师,负责综合极端条件实验装置微纳加工平台运行 。发表文章14篇,授权专利15项。2018年入选中国科学院物理研究所“引进国外杰出人才”计划中的“关键技术人才”。正在主持国家自然科学基金项目一项,负责科学院仪器设备功能开发技术创新项目一项;除此之外,参与多项国家重大项目。
  • Nanotechnology:采用热扫描探针光刻和激光直写相结合的方法快速制备点接触量子点硅基晶体管
    制造高品质的固态硅基量子器件要求高分辨率的图形书写技术,同时要避免对基底材料的损害。来自IBM实验室的Rawlings等人利用SwissLitho公司生产的3D纳米结构高速直写机NanoFrazor,结合其高分辨热探针扫描技术和高效率的激光直写功能,制备出一种室温下基于点接触隧道结的单电子晶体管(SET)。利用扫描探针可以确定佳焦距下的Z向位置,同时确定扫描探针和激光直写的位置补偿,研究人员在兼顾高分辨和高效率书写条件下得到小于100nm的度。利用CMOS工艺兼容几何图形氧化流程,研究人员在N型简并掺杂(>1020/cm3)的缘硅基底上制备出该SET器件。所研究的三种器件的特性主要由Si纳米晶和嵌入SiO2中的P原子所控制,进而形成量子点(QDs)。量子点上电子尺寸微小且局域性强,保证了SET在室温情况下的稳定运行。温度测量结果显示在100 – 300 K的范围内,电流主要由热激发产生,但在<100K时,主要以隧道电流为主。在硅基量子点器件的制备过程中,内部精细的功能器件区域一般要求高分辨率书写,但是在外部电相对粗糙的连接处仅需要高效的相对低分辨率刻蚀,这就是所谓的“混合搭配光刻”(mix-and-match lithography)。但是两种不同原理的书写技术结合应用会增加工作量,同时带来图形转移过程的位置偏差和对样品表面的污染。在本工作中,3D纳米结构高速直写机NanoFrazor系统将激光直写技术与高分辨热探针书写技术(XY: 10nm,Z: 1nm)相结合(如图1所示),这样可以利用热探针技术实现高分辨率区域的图形书写,而利用激光直写技术实现低分辨率区域的快速书写(如图2a所示, 蓝色区域为激光直写区域,深绿色区域为热探针书写区域),后实现一次性书写整体图形的高效性,同时避免了不必要流程所导致的表面污染和位置偏差。 图1:a) 热探针和激光透镜的结构示意图。b) 热探针连接在Z向压电传感器和位移台上,平行激光经透镜聚焦在样品表面。通过摄像头收集反射光实现样品成像,利用探针和激光的位置补偿进行表面书写。 图2:单电子器件(SET)的制作工艺流程示意。a) 器件图形示意,粉色区域为制备SET前的预图形书写区域。图形中央30μm×30μm区域中包含利用激光直写区域(蓝色)和利用热探针技术书写区域(深绿色);b) 位置校准示意;c) 对书写区域进行定位。d) 利用热探针技术进行高分辨率书写(图2a中深绿色区域);e) 利用激光直写技术进行低分辨率快速书写(图2a中蓝色区域);f) 利用RIE实现图形向硅层转移;g) 通过热氧化得到器件通道中的点接触通道。 IBM专门研发设计的NanoFrazor 3D纳米结构高速直写机所采用的针是具有两个电阻加热区域,针上方的加热区域可以加热到1000℃,二处加热区域作为热导率传感器位于侧臂处,其能感知针与样品距离的变化,精度高达0.1nm。因此,在每行直写进程结束后的回扫过程中,并不是通过针起伏反馈形貌信息,而是通过热导率传感器感应形貌变化,从而实现了比AFM快1000余倍的扫描速度,同避免了针的快速磨损消耗。NanoFrazor 3D纳米结构高速直写机与传统的微纳加工设备,如纳米醮印、激光直写、聚焦离子束刻蚀FIB、电子束诱导沉积、电子束光刻EBL等技术相比,具有高直写精度 (XY: 高可达10nm, Z: 1nm)以及高直写速度(20mm/s 与EBL媲美),具备实时形貌探测的闭环刻写技术以及无需标记拼接与套刻等特技术优势。加上其性价比高,使用和维护成本低,易操作等特点,成为广受关注的纳米加工设备。拓展阅读:Fast turnaround fabrication of silicon point-contact quantum-dot transistors using combined thermal scanning probe lithography and laser writingC. Rawlings, Y. K. Ryu, M. Rüegg, N. Lassaline, etc.DOI: 10.1088/1361-6528/aae3df
  • 光芯片技术攻关及产业化立项,将新增光刻、刻蚀等设备20余台
    5月23日,武汉敏芯半导体有限公司用于5G数据中心高速光芯片核心技术攻关及产业化项目立项。公示信息显示,该项目针对目前国内高端芯片严重依赖进口的现状,基于5G通信对高速光芯片的要求,开展相关 DFB光芯片技术和工艺研究,完成5G高速光芯片中高带宽,宽温工作的技术难题攻关;建设高速芯片生产制造线,完成产品的产业化及“国产化”替代,加快光通信产业升级。此外,项目将新增2英寸晶圆工艺的光刻机、反应离子刻蚀设备、芯片测试机和网络分析仪等设备共20余台,年产能增加2000万只,产值增加2亿元。
  • 全球仅一家满足要求!海德堡获1300万元激光直写光刻机单一来源采购大单
    中国政府采购网5月13日发布《北京量子信息科学研究院科研仪器设备激光直写光刻机单一来源采购公示》,拟采购的货物为激光直写光刻机2台。北京量子信息科学研究院将以单一来源采购方式从Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商华格科技(苏州)有限公司进行仪器采购,总预算金额1300万元。公示期限为2022年5月13日至2022年5月20日。采用单一来源采购方式的原因为:计划采购的激光直写光刻机需要可加工的最小结构尺寸达 0.3 μm,可以满足工艺的最低要求,而由于激光直写类设备的原理及工艺限制,0.3 μm基本上是该类设备可以达到的极限。在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH 的 DWL66+激光直写光刻机可以达到要求。此外,随着芯片工艺的复杂度和芯片面积的不断上升,现有激光直写设备速度较慢的问题逐渐凸显,对流片速度产生了明显的影响。我们计划采购的另一台激光直写光刻机要求在保证最小结构尺寸不大于 0.8 μm 的条件下,曝光速度不小于 800 mm2/min,并且可以加工 8 英寸晶片,对缩短芯片研发、生产周期具有不可替代的作用。我们在全球范围内对比了多种激光直写设备,目前只有 Heidelberg Instruments Mikrotechnik GmbH的 VPG200+激光直写光刻机在不大于 0.8 μm 的最小结构尺寸下具有≥800 mm2/min 的直写速度。华格科技(苏州)有限公司是 Heidelberg Instruments Mikrotechnik GmbH 在中国大陆的唯一代理商。综合以上研究要求,特申请以单一来源形式,通过华格科技(苏州)有限公司采购所需的激光直写光刻机。参与本次单一来源采购论证的专家为:北京大学副教授康宁、北京大学副教授吴孝松、中国科学院物理研究所副研究员屈凡明、中国科学院物理研究所副研究员田野、中国科学院物理研究所副研究员宋小会、北京政法职业学院教授孟德花、北京京棉纺织集团有限公司高级经济师刘放。专家论证意见如下:
  • 王麒:支持数千瓦极紫外自由电子激光光源及光刻验证装置布局成都科学城并纳入“十四五”规划
    据红星新闻报道,全国人大代表、四川省工商联副主席,四川启阳汽车集团有限公司董事长王麒提交了《关于支持成都科学城加快布局建设天府(国家)实验室的建议》,建言聚焦空天科技、生命科学、先进核能、电子信息等关键领域推动国家实验室集中布局成都科学城,突破一批‘卡脖子’技术问题。王麒 (图源 红星新闻)其中,王麒建议国家发改委、科技部优先在成都科学城布局建设大科学装置、国家级大科学工程。“支持数千瓦极紫外自由电子激光光源及光刻验证装置、电磁驱动聚变大科学装置、超高速低真空磁浮交通及动模研究平台、超高通量多功能堆研究设施、跨尺度矢量光场时空调控验证装置布局成都科学城并纳入国家‘十四五’重大科技基础设施建设规划,打造更多抢占制高点的川版‘国之重器’,建设国际一流重大科技基础设施集群。”据了解,成都科学城科技创新项目重点项目“数千瓦极紫外自由电子激光光源及光刻验证装置”由中国工程物理研究院第十研究所承担,总投资约41亿元,拟通过“数千瓦极紫外自由电子激光光源及光刻验证装置”,建立大功率极紫外光源,通过光刻光源预处理系统及光刻验证系统,验证自由电子激光用于光刻的各种关键物理及工程问题,完成10nm节点光刻演示验证,建立首台千瓦极紫外光刻工程测试样机,为我国掌握大规模极紫外光刻(EUV)生产能力、突破芯片制造“卡脖子”问题提供条件。项目拟于2021年启动建设,2026年底完成验收。目前,极紫外光源是制约我国EUV光刻机的关键部分。而国内各种EUV光源的研究也在逐步进行中。而目前我国EUV光源受制于功率限制,无法应用于工业量产,而工业生产至少需要达到250W功率,ASML实验室已经达到了1kW的EUV光源功率。
  • 国产刻蚀机的“突围”之路
    p style="text-indent: 2em text-align: justify "半导体产业三大生产工艺环节分为:IC设计(电路与逻辑设计)、IC制造(前道工序)和IC封装与测试环节(后道工序)。IC制造环节又分为晶圆制造和晶圆加工两部分。晶圆加工则是指在制备晶圆材料上构建完整的集成电路芯片的过程,包含镀氧化、扩散、退火、离子注入、薄膜沉积、光刻、刻蚀、化学机械平坦化(CMP)等十余道工艺,其中最关键的三类主设备是光刻机、刻蚀机和薄膜沉积设备,价值占前道设备的近70%。光刻机已经成为最具关注的话题,其实刻蚀机同为其中重要的一环。刻蚀是在衬底上留下需要的图形电路。刻蚀分为干法刻蚀和湿法刻蚀,当前干法刻蚀是主流工艺;在干法刻蚀中,反应离子刻蚀应用最广泛。按照被刻蚀材料划分,等离子体刻蚀机分为硅刻蚀机、介质刻蚀机和金属刻蚀机;其中,介质刻蚀与硅刻蚀机分别占比49%以及48%,金属刻蚀仅占3%(数据来源:《半导体系列深度报告:刻蚀设备:最优质半导体设备赛道,技术政策需求多栖驱动》)。/pp style="text-indent: 2em text-align: justify "从公开信息可以看到,中国刻蚀设备的工艺节点已经达到5nm,并得到台积电的验证,追赶上主流半导体的步伐;在市场表现上看,国际大厂在中国市场的份额从最初几乎垄断到2019年下降至77%;北方华创的硅刻蚀机、金属刻蚀机,中微公司的介质刻蚀机在国内均已牢牢占据一席之地,并成功进军国际市场。/pp style="text-align: center text-indent: 2em "img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202009/uepic/aa32673b-c238-4268-8a38-1f3be32fbaa5.jpg" title="1.png" alt="1.png"//pp style="text-indent: 0em text-align: center "span style="text-indent: 2em "5nm的刻蚀机照片(中微官网)/span/ph3 style="text-indent: 0em text-align: center "曾经让人“绝望”的国际巨头/h3p style="text-indent: 2em text-align: justify "2019年全球刻蚀机市场份额由三家国际厂商瓜分,来自美国硅谷的泛林半导体(Lam Research)占53%,位于日本的东京电子东京电子(Tokyo Electron)占19%,同样是美国硅谷的应用材料(Applied Materials)占18%。尽管近年来刻蚀行业的后起之秀如雨后春笋,但这三家国际巨头仍共占全球九成以上的市场份额。/pp style="text-indent: 2em text-align: justify "上世纪70年代,半导体产业大发展,伴随着半导体产业的快速起步发展,相应的半导体设备公司也纷纷成立。1980年泛林半导体公司成立,凭借着对先进技术和产品的单纯追求,第二年便推出了第一款刻蚀机产品—AutoEtch,并于第四年在纳斯达克上市。90年代,泛林将业务拓展到CVD和显示面板领域,反而分散了公司的业务焦点,最终却适得其反市值暴跌。痛定思痛,泛林半导体将研发重心放在刻蚀设备领域,2007年后在刻蚀设备领域终于无可撼动。/pp style="text-indent: 2em text-align: justify "应用材料公司成立于1967年,是全球最大的半导体设备公司。公司位于美国硅谷,拥有极强的研发能力,官方资料显示,应用材料每年在研发上投入20亿美元,团队成员中30%为专业研发人员,平均每天(包括星期六和星期日)要申请四个以上的新专利。1981年应用材料克服了超大规模集成电路离子刻蚀的技术难题,进入刻蚀设备领域,开启了现代刻蚀时代。/pp style="text-indent: 2em text-align: justify "1963年,久保德雄和小高敏夫在东京创立了东京电子研究所,注册资本500万日元,员工6人。1968年,东京电子与Thermco Products Corp.合并,成为日本第一家半导体制造设备厂商。1975年,东京电子决定专注于半导体制造设备。1981年,东京电子成为了最顶级半导体制造设备厂商。1989年,半导体制造设备营收额全球第一,并连续三年蝉联冠军,至1991年。虽然东京电子的成长路径远不如前两家波澜壮阔,但它们对于研发的投入绝不缩水。2018财年东京电子研发费用约1200亿日元(约合80亿人民币)。/pp style="text-indent: 2em text-align: justify "这些巨头都成立于上世纪60-80年代,伴随着半导体产业起步和发展而壮大,积累了强大的技术研发团队和专利壁垒,成为了刻蚀设备领域让人“绝望”的国际巨头。/ph3 style="text-indent: 0em text-align: center "美国禁运下的“成功突围”/h3p style="text-indent: 2em text-align: justify "为了阻挠中国半导体产业发展,美国对半导体关键设备实施了禁运,其中包括了等离子体刻蚀机的禁运。从此中国刻蚀机领域开始了漫漫“突围”路。/pp style="text-indent: 2em text-align: justify "2004年,尹志尧和16位同仁一起,从美国回到中国,在上海浦东创建了中微。尹志尧曾在硅谷Intel公司、LAM研究所、应用材料公司等电浆蚀刻供职16年。尹志尧曾发起硅谷中国工程师协会并担任主席。尹志尧在硅谷工作的时候,其团队让公司占据全球将近一半的市场,并且在半导体行业拥有多项专利。/pp style="text-indent: 2em text-align: justify "为追赶国际先进水平,中微公司成立后采用了全员持股的激励制度,吸引了来自世界各地具有丰富经验的半导体设备专家,形成了技术精湛、勇于创新、专业互补的国际化人才研发队伍,并始终保持大额的研发投入和较高的研发投入占比,2019年净利润同比增长108% 研发投入占营收比为21.81%。/pp style="text-indent: 2em text-align: justify "2007年,中微公司首台甚高频去耦合等离子体刻蚀设备Primo D-RIE研制成功。作为中微第一代电介质刻蚀产品,在同年的日本半导体博览会上发布,是12英寸双反应台多反应腔主机系统,用于65nm到16nm技术节点,可以灵活配置多大三个双反应台反应腔。每个反应腔都可以在单晶圆反应环境下,同时加工两片晶圆。刻蚀设备采用了双反应台技术增加了产能输出,可以有效降低客户的成本,相较于同类产品具有很高的性价比优势。/pp style="text-indent: 2em text-align: justify "2011年,中微第二代电介质刻蚀产品Primo AD-RIE刻蚀设备研制成功,可用于45nm到14nm后段制程以及10nm前段应用的开发。同时,中微通过建立全球化的采购体系,与供应商密切合作,制造出模块化、易维护、具有成本竞争优势的产品;其通过科学的方法管理库存,有效地降低了公司的运营成本。/pp style="text-indent: 2em text-align: justify "2013年,CCP刻蚀设备产品Primo SSC AD-RIE刻蚀设备研制成功,可用于40-7nm工艺。三代刻蚀设备,不断迭代,产品线覆盖了多个制程的微观器件的众多刻蚀应用。/pp style="text-indent: 2em text-align: justify "半导体设备产业的波动要大于半导体芯片产业的波动,更大于 GDP 的波动。仅靠单一的设备产品来发展的企业无法抵御市场波动带来的不确定性。为此,中微公司的半导体设备实现了多产品覆盖,2010年,首台深硅刻蚀设备产品研制成功;2012年首台MOCVD设备产品研制成功,产品覆盖集成电路、MEMS、LED 等不同的下游半导体应用市场。/pp style="text-indent: 2em text-align: justify "在中微的主要产品线刻蚀设备方面,国际巨头泛林科技、东京电子和应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,他们占据了全球干法刻蚀机市场的90%以上份额。即便如此,中微还是在介质刻蚀领域实现了突围,将产品打入台积电、联电、中芯国际等芯片生产商的40多条生产线,并实现了量产。/pp style="text-indent: 2em text-align: justify "不同于中微公司从介质刻蚀机入手,北方华创选择从硅刻蚀机入手。在国家02专项的支持下,北方华创在硅刻蚀机领域不断实现突破,先进制程工艺一路上扬,28nm,22nm都实现了突破。/pp style="text-indent: 2em text-align: justify "国产刻蚀机的不断突破,最终使得美国在2015年解除了对中国的刻蚀机禁运。国产刻蚀设备的不断进步终于突破了美国的封锁。/ph3 style="text-align: center text-indent: 0em "“与狼共舞”勇夺“世界三强”一席/h3p style="text-indent: 2em text-align: justify "伴随着美国解除对中国的刻蚀机禁运,国产刻蚀设备也开始进入国际市场并与世界刻蚀机巨头展开了激烈的竞争。而国内市场也迎来了激烈的角逐。/pp style="text-indent: 2em text-align: justify "面对来势汹汹的国际半导体设备巨头,中微公司进一步加大研发投入,提前布局。在2016年成功研制出首台ICP刻蚀设备产品Primo nanova,这是中微基于ICP开发的第一代产品,适用于14-7nm工艺技术节点。可以配置多达6个刻蚀反应腔和两个可选的去胶腔。之后不断改进设备,2018年改进Primo AD-RIE并进入5nm生产线,至今仍不断引领国内半导体设备和技术的发展。目前中微公司在介质刻蚀领域在世界上已获得一席之地,成为介质刻蚀领域的世界三强企业。/pp style="text-indent: 2em text-align: justify "于此同时,北方华创也在刻蚀机领域实现了节节突破,2016年研发出了14nm工艺的硅刻蚀机。虽然金属刻蚀市场很小,但在2017年11月,北方华创研发的中国首台适用于8英寸晶圆的金属刻蚀机,也成功搬入中芯国际的产线,这个也是有重大突破意义的。/pp style="text-indent: 2em "br//pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/zc/833.html" target="_self"电子束刻蚀系统专场:https://www.instrument.com.cn/zc/833.html/a/p
  • 飞秒激光无掩膜光刻拓扑结构及细胞球浸润机制新进展
    随着组织工程领域的发展,生物材料界面与细胞的相互作用及物理机制成为研究热点。生物界面的拓扑形貌可以有效调控细胞行为并影响细胞功能。而体内的一些生理过程如胚胎发育、免疫应答和组织更新与重塑等往往涉及多细胞的集体行为。肿瘤的侵袭和转移也与集体细胞的协调运动有关。细胞球作为一种体外三维细胞培养模型,具有强烈的细胞-细胞相互作用,可在细胞生理学、信号通路、基因和蛋白表达以及气体/营养物质梯度等方面更好地模拟体内环境。因此,明确材料表面拓扑结构与细胞球的相互作用对探究体内生理、病理机制具有重要意义。然而,当前同时具有厘米级尺度和微纳米精度的跨尺度微纳拓扑结构尚难以快速制备。   近日,中国科学院理化技术研究所仿生智能界面科学中心有机纳米光子学实验室研究员郑美玲团队在跨尺度微纳拓扑结构制备及细胞球浸润性调控方面取得了新进展。该团队提出采用飞秒激光无掩膜投影光刻技术(MOPL)制备大面积兼具高精度的微盘阵列拓扑结构以研究细胞球的浸润性。该研究发现细胞球在多种不同单元直径的微盘阵列拓扑结构上展示出不同的浸润速度。研究通过分析细胞形态、骨架分布和细胞黏附,解析了细胞球浸润速度的变化机制,并发现了细胞球在大尺寸和小尺寸的微盘结构单元上采取不同的浸润模式。该研究揭示了细胞球对跨尺度微纳拓扑结构的响应机制,为探讨组织浸润行为提供了参考。   MOPL是一种高效率且能灵活化地制备微纳拓扑结构的技术。考虑到单个细胞的尺寸以及细胞球浸润过程中与大面积拓扑结构的相互作用,该工作利用MOPL技术制备了高度低于1μm,且拓扑单元直径分别为2、5、20和50 μm的大面积(8 mm × 10 mm)微盘阵列结构(图1)。   该研究采用超低吸附法制备了大小均一的人肾透明细胞癌细胞的细胞球。进一步,科研人员利用激光扫描共聚焦荧光显微镜对细胞球在微盘阵列拓扑结构上的动态浸润行为进行观察。细胞球在一系列微盘阵列拓扑结构上发生了完全浸润并展现出不同的浸润面积。结合细胞球铺展理论,通过量化不同时间点的细胞球浸润面积,研究发现细胞球的浸润速度在2、5、50和20 μm直径的微盘结构单元上依次减小,且细胞球在直径为20 μm的微盘结构单元上具有较小的细胞-基底黏附能(图2)。   进一步地,研究人员利用免疫荧光染色分析了多种不同微盘结构上的细胞形态、肌动蛋白和黏着斑分布,提出了细胞球在直径2μm和5 μm的小尺寸的微盘结构上采取攀爬模式浸润,以及在直径20μm和50 μm的较大尺寸的微盘结构上采取绕行模式浸润(图3)。细胞球的浸润过程表现为一种多细胞的集体协调运动。   该研究揭示了细胞球在各向同性微盘阵列拓扑结构表面的浸润机制,深化了对于细胞球与界面拓扑结构相互作用的认知。本工作是飞秒激光面投影纳米光刻技术及应用的拓展。相关研究成果发表在Small上。研究工作得到国家重点研发计划“纳米科技”重点专项、国家自然科学面上基金项目和中科院国际伙伴计划等的支持。
  • 如何打造全球领先的刻蚀机大厂?
    9月15日,中微半导体设备(上海)有限公司创始人、董事长、总经理尹志尧在公开演讲中探讨如何打造高质量、有竞争力的半导体设备公司时,表示目前半导体公司的设备主要可以分为四大类,光刻机、等离子体刻蚀机、薄膜设备、测试设备。以刻蚀机设备为例,等离子体刻蚀设备市场成长迅速,目前年市场规模超过120亿美元。并且等离子体刻蚀设备已经工厂中投入最大的部分,已经占到工厂设备成本的30%以上。尹志尧提到一定要将更大力度推动和发展半导体微观加工设备产业提到日程上来,半导体设备公司不仅是集成电路制造的供应商和产业链,也是集成电路制造的最核心部分。而大国博弈在经高科技战线上,集中在半导体设备和关键零部件的限制上。当前中微半导体开发的四类设备均达到了国际领先水平,如CCP电容性刻蚀机、ICP电感型刻蚀机、深硅刻蚀机、MOCVCD。其中,中微开发的第三代CCP高能等离子体刻蚀机,已经从过去的20:1发展到如今的60:1极高深宽比细孔。并且中微CCP刻蚀机在台湾领先的晶圆厂和存储厂,已经占据三成市场份额。中微的MOCVD设备在国际氮化镓基MOCVD市场占有率已在2018年第四季度已经达到了70%以上。尹志尧表示,十年来中国有54个公司和研究所曾宣布开发MOCVD设备,但目前只有中微一家成功,并且已经实现稳定的量产。多年来中微的MOCVD设备不断提高蓝绿光LED波长均匀性,目前LED波长片内均匀性已经做到0.71nm。如何将中微半导体做大做强,尹志尧表示中微以“四个十大”为中心,总结17年的经验与教训,继续发展科创企业的管理章法,其中包括:中微产品开发的十大原则;中微战略和商务的十大原则;中微运营管理的十大原则;中微精神文化的十大原则。在开发产品上,尹志尧表示不要老跟着外国人的设计,这样很难做出自己独有的产品,因此中微提出了甚高频去耦合反应离子体刻蚀,让高频、低频都在下电极,当前该技术已经具备一定优势。此外,中微公司还开发了CCP单台机和双台机,ICP单台机和双台机,可以覆盖90%的刻蚀应用,不仅在成本上降低30%,效率上也提升了50%。战略上,中微将通过三维成长(集成电路设备、泛半导体设备、非半导体设备),计划在未来10到15年成为国际一流的微观加工设备公司。公司运营管理上,中微通过运营KPI管理不断提升质量管理水平。截至2021年6月份,中微已经申请了1883个专利,并已获得1115个专利。尹志尧表示,尽管中微在知识产权上已经做得很全面,但也受到多次美国公司对中微发起的专利诉讼,有三次是美国公司对中微提起诉讼,一次是中微对美国公司发起的诉讼。值得注意的是,在专利诉讼中,两次获得了完全胜利,另外两次也在较大优势下达成和解。中微公司在等离子体刻蚀机的技术优势,也让美国在2015年取消了对中国的出口控制,而中微的相关产品出口环境也变得极为宽松。值得注意的是,中微实施了员工期权激励和全员持股的模式,认为这是高科技公司发展的生命线,也是社会主义集体所有制的核心。尹志尧认为,企业价格由投入的股本金带来和劳动创造的价值两部分组成,但公司80%的市值由劳动力创造。不忘初心,就是回到“资本论”,就是要解决剩余价格的合理分配问题。通过期权和股权将员工长期利益和企业绑定,使更多员工参加公司,使员工积极为公司工作,全员持股是中微赖以生存和发展的生命线。尹志尧提到,自己仅占公司1%的股份,但这并不意味着就无法将公司做好。让公司做大做强,要做到强群的总能量最大化和净能量最大化,总能量最大化即使所有阶层和所有部门人们的积极性群都发挥出来,净能量最大化即怎样使各个阶层和各个部门的能量不会在内耗中消失。最后,尹志尧表示,一家公司从初创公司做到成功,公司的文化和作风是主要应随,要建立一直领先的百年老店,初创时期,首先要有过硬的技术产品,到了大公司时期要有足够的运营能力,做到领头公司,则需要看公司的文化作风。
  • 首台国产12英寸晶边刻蚀机在北京经开区发布
    近日,北京经开区企业北方华创科技集团股份有限公司(以下简称“北方华创”)正式发布应用于晶边刻蚀(Bevel Etch)工艺的12英寸等离子体刻蚀机Accura BE,实现国产晶边干法刻蚀设备“零”的突破,为我国先进芯片制造量身打造良率提升高效解决方案。  何谓晶边刻蚀机?在器件制造过程中,由于薄膜沉积、光刻、刻蚀和化学机械抛光等工艺步骤的大幅增长,在晶圆的边缘造成了不可避免的副产物及残留物堆积,这些晶边沉积的副产物及残留物骤增导致的缺陷风险成为产品良率的严重威胁,因此,越来越多逻辑及存储芯片等领域制造商开始重点关注12英寸晶圆的边缘1mm区域,从晶圆的边缘位置着手提高芯片良率。晶边刻蚀机作为业界提升良率的有力保障,其重要性日益凸显。  “Accura BE作为首台国产12英寸晶边刻蚀设备,其技术性能已达业界主流水平。”北方华创相关负责人表示,Accura BE通过软件系统调度优化与特有传输平台的结合,可助力客户实现较高的产能;通过选择搭配多种刻蚀气体,实现对PR(光刻胶),OX(氧化物),SiN(氮化硅),Carbon(碳),Metal(金属)等多类膜层材料的晶边刻蚀工艺全覆盖;可定制多种尺寸的聚焦环设计组合,实现对等离子体刻蚀区域的精准位置控制,从而为客户提供灵活、全面的良率提升方案;具备软件智能算法,可实施可视化的量化调节,简化维护流程,提高设备生产效率。  首台!首套!首次!北方华创自2001年创立起就开始组建团队钻研刻蚀技术,从2005年第一台8英寸ICP刻蚀机在客户端上线,到带领国产刻蚀机从零到交付破千,北方华创历经了二十余载自主创新,不断为集成电路装备国产化进程贡献“亦庄智慧”。据了解,基于20余年在刻蚀工艺技术、等离子体控制及多材料刻蚀能力等方面的积累与创新,Accura BE刚发布上市,就已斩获逻辑及存储器领域头部客户多个订单,通过工艺调试,进入量产阶段,其优秀的工艺均匀性、传输稳定性及快速维护的能力赢得行业高度评价。
  • 第四场研讨会 | 如何结合等离子FIB刻蚀和激光烧蚀,更高效完成毫米级半导体失效分析
    主题:Faster mm-scale Semiconductor Failure Analysis byCombining Plasma FIB Milling and Laser Ablation 演讲人:Jozef Vincenc Obona 博士Jozef Vincenc Obona 是TESCAN ORSAY HOLDING公司半导体市场部的产品营销总监,获得Slovak Academy of Sciences (Slovakia) 低温电子学博士学位。他有多年从事半导体失效分析(生产线前端、后端和封装应用)的经验,并与半导体行业领袖一直保持沟通。他在FIB-SEM方面拥有超过13年的工作经验,在西班牙萨拉戈萨的阿拉贡纳米科学研究院(Instituto de Nanociencia de Aragon)、荷兰格罗宁根大学(University of Groningen)以及特温特大学(University of Twente)进行了5年的超短激光脉冲处理应用研究,拥有3项专利并发表了52篇论文。时间段1:3月24日, 下午4:00 –5:00 (北京时间)时间段2:3月25日, 上午2:00 –3:00 (北京时间)长期以来,提高性能和降低功耗是电子器件设计的基本要求,这需要通过器件构件(晶体管、存储单元等)的小型化、信号通路的减少(将多个组件集成在一个先进封装中)以及优化其它组件(包括显示器、射频、微机电系统和电池)来实现。开发新产品是一件非常具有挑战性的工作,快速失效分析(FA)有助于确定缺陷的基本原因并向研发人员提供有效的反馈,以保证产品的上市时间和可靠性。对封装、先进封装、显示器、射频、微机电系统以及电池进行快速失效分析时,往往需要在样品表面以下几百微米甚至于几毫米寻找缺陷位置。由于样品结构的特殊性,需要对样品进行大面积的刻蚀以制备出截面才能够对特定的缺陷位置进行分析。因此,近10年来等离子FIB被普遍使用在这个过程中并受到了行业的广泛认可。然而,近年来随着器件结构越趋复杂、缺陷深度显著增加以及必须更快速获得分析结果等原因,对等离子FIB的能力提出了更高的要求。使用激光烧蚀可以将前期制样速度提升数千倍,因此将激光烧蚀技术加入到等离子FIB工作流程中不仅可以更快获得高质量的分析结果,同时也开启与实验室中不同类型设备协同合作的新篇章。在本次研讨会上,将为您介绍 TESCAN 样品大体积制备的工作流程。使用不同尺寸的要求苛刻的样品进行演示,样品包括复杂器件和不导电硬质材料,您可以看到非常灵活的工作流程。我们将为您展示如何结合超高分辨扫描电镜成像系统快速进行没有伪影的样品制备并揭示样品的真实细节。点击“我要报名”立即报名参会吧!说明:为了让更多的用户可以参与到本次研讨会中,每一场研讨会都有两个时间段可供选,内容相同,与会者可自行选择报名参加其中一个时间段的研讨会。
  • 国产光刻机及关键核心零部件研发进展
    p style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "光刻机被业界誉为集成电路产业皇冠上的明珠,研发的技术门槛和资金门槛非常高。也正是因此,能生产高端光刻机的厂商非常少,到最先进的EUV光刻机就只剩下ASML。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据ASML之前公布资料显示,ASML 是全世界唯一一家使用极紫外EUV光源的光刻机制造商。EUV光源波长只有13.5 nm(接近X射线水平),远大于DUV光刻机的193nm,目前用于台积电最先进的5 nm生产线。相比之下,国内光刻机厂商则显得非常寒酸,处于技术领先的上海微电子装备有限公司已量产的最先进的SSA600/20型号前道光刻机采用了ArF准分子光源,即深紫外DUV光刻机,光刻分辨率只有90 nm。有消息称上海微电子即将于2021年,也就是几个月之后会交付首台国产的分辨率达28 nm的光刻机,目前国内晶圆厂所需的高端光刻机完全依赖进口。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "随着贸易战的愈演愈烈,美国对华为的打压也蔓延到了半导体领域,国内先进光刻机采购遭遇重大阻力。同时由于《瓦森纳协定》的限制,即使突破了技术,能够制造先进光刻机,其核心零部件的进口也可能会受到限制。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "任正非最近也表示,“我们设计的先进芯片,国内的基础工业还造不出来,我们不可能又做产品,又去制造芯片”。面对先进光刻机受制于人的局面国产光刻机的研发牵动着国人的心,启动国产光刻机的研发已刻不容缓。于此同时,国内也不断传来关于光刻机研发的各种消息… … /span/pp style="text-align:center text-indent:29px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-size: 15px line-height: 150% font-family: 宋体 "网传华为自研光刻机/span/strongstrong/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "今年以来,网上各路自媒体传出华为启动自研光刻机的消息,不过这些消息大都是捕风捉影,真实性存疑。其来源主要基于以下几个消息:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "1、 华为申请光刻机专利。据了解,该专利名称是《一种光刻设备和光刻系统》,申请于2016年。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2、华为大批挖角上海微电子等企业的员工。不过后续相关消息称,华为只是少量挖掘,人员数量并不足以支撑研发。但这也让上海微电子(SMEE)未离职的前道部门工资奖金翻了一倍。根据相关消息,为激励员工,SMEE薪资大调整,前道各部门计划从今年9月开始实行12(基本工资)+2(个人绩效)+6-12(前道产品绩效)薪资结构了。相比于过去年薪12+2能拿到20多万,如果按时完成任务的话,现在加上奖金能拿到40多万。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "3、华为招聘光刻工艺工程师。但从职位描述看,招聘的是研究2.5d tsv方面封装技术的工艺工程师,该技术会使用到光刻设备。华为芯片的封装测试是外包给封测厂进行的,该岗位可能是进行试验室封装技术的研发和经验积累,协助推动在封测厂的量产。目前我国缺少和亟待突破的是先进制程的前道光刻机。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "业内人士表示,华为虽然技术研发能力,公司氛围都很强大,但光刻机技术门槛高,单打独斗很难成功。目前关于华为自研光刻机的消息虽然大都是捕风捉影,但是华为的研发实力也不容小觑,毕竟华为有强烈的需求,而余承东也表示华为将入局半导体设备。/span/pp style="text-align:center text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strong02/strongstrongspan style="font-family: 宋体 "专项核心零部件研发进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "9月16日,中科院院长白春礼在接受媒体采访时明确表示,中科院已成立光刻机攻关小组,争取在短时间内研制出国产高端光刻机。除此之外,中科院也针对“卡脖子”问题,列入了技术清单,并且均已成立研发小组。实际上中科院以及相关科研机构很早就介入了光刻机研发领域。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键元器件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。上海微电子只能另辟蹊径,转入技术含量较低的后道封装光刻机和平板显示光刻机领域,占领了国内封装光刻机80%的市场。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "面对国外封锁,国内科研机构开始发力,针对光刻机的核心零部件进行攻关。在“十二五”期间,著名的“02专项”即《极大规模集成电路制造技术及成套工艺》要求重点进行45-22纳米关键制造装备攻关,部分光刻机核心零部件也已实现了验收。国家02专项光刻机项目有多个部门参与,分别负责不同的子项。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "双工件台系统完成验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "双工件台,即在一台光刻机内有两个承载晶圆的工件台。两个工件台相互独立,但同时运行,一个工件台上的晶圆做曝光时,另一个工件台对晶圆做测量等曝光前的准备工作。当曝光完成之后,两个工件台交换位置和职能,如此循环往复实现光刻机的高产能。该项目由清华大学和北京华卓精科负责/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2019年4月28日,清华成功研发光刻机双工件台掩模台系统α样机,并召开光刻机双工件台系统样机研发”项目验收会。研究团队历经5年完成了全部研究内容,突破了平面电机、微动台、超精密测量、超精密运动控制、系统动力学分析、先进工程材料制备及应用等若干关键技术,攻克了光刻机工件台系统设计和集成技术,通过多轮样机的迭代研发,最终研制出2套光刻机双工件台掩模台系统α样机,达到了预定的全部技术指标,关键技术指标已达到国际同类光刻机双工件台的技术水平。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该项目是02专项核心任务光刻机项目群中第一个通过正式验收的项目。项目完成使得我国成为世界少数可以研制光刻机双工件台这一超精密机械与测控技术领域尖端系统的国家之一。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“极紫外光刻关键技术研究”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "极紫外光刻是一种以13.5nm的EUV光为工作波长的投影光刻技术,目前最先进的芯片就是使用ASML的EUV光刻机制造。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2016年11月15日,由长春光机所牵头承担的国家科技重大专项02专项——“极紫外光刻关键技术研究”项目顺利完成验收前现场测试。在长春光机所、成都光电所、上海光机所、中科院微电子所、北京理工大学、哈尔滨工业大学、华中科技大学等参研单位的共同努力下,历经八年的戮力攻坚,圆满地完成了预定的研究内容与攻关任务,突破了现阶段制约我国极紫外光刻发展的核心光学技术,初步建立了适应于极紫外光刻曝光光学系统研制的加工、检测、镀膜和系统集成平台,为我国光刻技术的可持续发展奠定了坚实的基础。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2017年6月21日,中国科学院长春光学精密机械与物理研究所(现北京国望光学)牵头研发的“极紫外光刻关键技术”通过验收。突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于0.75 nm RMS 的两镜EUV 光刻物镜系统,构建了EUV 光刻曝光装置,国内首次获得EUV 投影光刻32 nm 线宽的光刻胶曝光图形。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "“超分辨光刻装备研制”通过验收/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "2018年11月29日,国家重大科研装备研制项目“超分辨光刻装备研制”29日通过验收。该光刻机由中国科学院光电技术研究所研制,光刻分辨力达到22纳米,结合双重曝光技术后,未来还可用于制造10纳米级别的芯片。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "该光刻机在365纳米光源波长下,单次曝光最高线宽分辨力达到22纳米。项目在原理上突破分辨力衍射极限,建立了一条高分辨、大面积的纳米光刻装备研发新路线,绕过国外相关知识产权壁垒。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "利用研制成功的超分辨光刻装备已制备出一系列纳米功能器件,包括大口径薄膜镜、超导纳米线单光子探测器、切伦科夫辐射器件、生化传感芯片、超表面成像器件等,验证了该装备纳米功能器件加工能力,已达到实用化水平。不过需要注意的是,该设备为超材料/超表面、第三代光学器件、广义芯片等变革性战略领域的跨越式发展提供了制造工具。简单来说,该设备主要应用于器件进行周期性的光刻,但无法应用于集成电路光刻。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他项目紧锣密鼓进行中/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "除了以上已经完成的02专项子项目,其他的项目也在紧锣密鼓进行中:/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "浙江大学流体动力与机电系统国家重点实验室和浙江启尔机电负责沉浸式光刻机的浸液系统,目前水平排名世界第三,前两名分别为阿斯麦、尼康;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "中科院光电研究院负责准分子激光光源系统,由北京科益虹源负责产业转化,研究成果国产40W 4kHz ArF光源已经交付,是继美国Cymer公司(已于2013年被阿斯麦收购)、日本Gigaphoton 公司之后的全球第三;/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "物镜曝光系统方面,长春光机所应用光学国家重点实验室和国防科技大学光学精密工程创新团队负责;激光光源照明系统方面,中国科学院上海光学精密机械研究所负责。/span/pp style="text-align:center line-height:150%"span style="font-family: arial, helvetica, sans-serif "strongspan style="font-family: 宋体 "其他团队光刻机研究进展/span/strong/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据悉,武汉光电院甘棕松团队采用二束激光在自研的光刻胶上突破了光束衍射极限的限制,采用远场光学的办法,光刻出最小9纳米线宽的线段,实现了从超分辨成像到超衍射极限光刻制造的重大创新,研发出了双光束高分辨率激光直写光刻机。目前甘棕松团队正在做双光束超分辨率投影式光刻机大型工程机的研发。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "需要注意的是一般投影式光刻机才可以进行有效率的芯片制造,而甘棕松团队的光刻机是直写式光刻机,无法实现大规模量产。一般来说,直写式光刻设备主要用于掩模版制作,如电子束刻蚀设备,其优点是分辨率高,缺点是速度慢,无法用于大规模量产。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "据业内媒体消息披露,上海微电子将于2021年-2022年交付第一台28nm工艺的国产沉浸式光刻机。这意味着我国的先进光刻机已经实现了技术突破,但可以实现更高制程的EUV光刻机仍然任重而道远。/span/pp style="text-indent:28px line-height:150%"span style="font-family: arial, helvetica, sans-serif "“我们从古以来,就有埋头苦干的人,有拼命硬干的人,有为民请命的人,有舍身求法的人,… … 虽是等于为帝王将相作家谱的所谓" 正史" ,也往往掩不住他们的光耀,这就是中国的脊梁… … ”伴随着国家队入场和科研人员的“负重前行”,相信不久的将来必能不断传出好消息。/span/ppbr//p
  • 中科院化学所预算7957万元采购光刻机、拉曼光谱、电镜等仪器
    仪器是科学创新的重要基础和条件,科学发现不仅仅需要理论创新,还需要依靠仪器进行实验观察和检测。中国科学院化学研究所作为具有重要国际影响、高水平的研究机构,在高分子科学、物理化学、有机化学、分析化学、无机化学等领域,面向世界科技前沿,取得了一系列杰出研究成果,其科研开展离不开仪器的支持。根据《财政部关于开展政府采购意向公开工作的通知》(财库〔2020〕10号)等有关规定,为优化政府采购营商环境,提升采购绩效,相关单位需公开政府采购意向,内容应包括项目名称、需求概况、预算金额、采购时间等。作为仪器使用大户,中国科学院化学研究所于近日公布了26项仪器类政府采购意向,预算金额相加达7957万元,采购品目包括光刻机、X射线衍射仪、拉曼光谱仪、扫描电镜、透射电镜等诸多仪器类型,预计采购时间为2022年6月。中国科学院化学研究所2022年6月仪器采购意向汇总表序号采购项目预算金额(万元)项目详情1喷墨打印设备350详情链接2激光雕刻头150详情链接3紫外光刻机296详情链接4等离子体刻蚀机261详情链接5连续印刷狭缝涂布机500详情链接6单片狭缝涂布机100详情链接7快速停流吸收光谱仪211详情链接8快速停流荧光光谱仪212.1详情链接9显微共焦拉曼光谱仪195详情链接10X-射线衍射仪630详情链接11皮秒光参量放大器160详情链接12皮秒激光放大器130详情链接13飞秒激光振荡器100详情链接14飞秒激光放大器105详情链接15低温强磁场扫描探针显微镜550详情链接16半自动探针台140详情链接17低温强磁场共聚焦显微镜表征系统540详情链接18场发射透射电子显微镜950详情链接19高分辨扫描电子显微镜497详情链接20聚焦离子束设备450详情链接21扫描离子电导显微镜150详情链接22低温综合物性测量系统450详情链接23激光扫描共聚焦显微镜430详情链接24微通道反应装置150详情链接25等温滴定微量热仪130详情链接26富勒烯制备装置120详情链接
  • 国产光刻机如何突围?
    近日,有消息称,上海微电子正致力于研发28纳米浸没式光刻机,预计在2023年年底将国产第一台SSA/800-10W光刻机设备交付市场。此前,国家知识产权局公布了一项华为新的专利“反射镜、光刻装置及其控制方法”,在极紫外线光刻机核心技术上取得突破性进展。  半导体产业是全球主要国家的战略高地。美国、荷兰、日本先后对光刻机等半导体制造设备出口进行限制,我国将于8月1日起对镓、锗相关物项实施出口管制。想要不被“卡脖子”,在关键环节实现自主可控是必经之路。光刻机“卡脖子”问题具体体现在哪儿?我国企业已经取得了哪些进展?国产量子芯片领域能否把握发展先机?记者近日就此调研了部分上市公司,采访了学术界、产业界多位专家。  业内人士普遍表示,我国企业加快核心领域自主研发,光刻机产业链上下游正不断涌现出新进展、新成果,国产化加速向前。“中国芯”正在崛起。  光刻机领域突破不断  光刻机又名掩模对准曝光机,被称为“半导体工业皇冠上的明珠”,是半导体产业链中最精密的设备,是制造芯片的核心装备。光刻机技术有多难?业界有形象的比喻,用光在晶圆上画图,相当于两架客机齐头并进,一架机翼上挂一把刀,另一架飞机上粘一颗米粒,用刀在米粒上刻字。  目前,全球能生产光刻机的厂商寥寥无几,荷兰阿斯麦、日本尼康和佳能占据了主要市场。其中,阿斯麦技术最为领先,它是唯一能生产极紫外线光刻机的厂家,这种光刻机可实现7纳米甚至5纳米工艺。阿斯麦第一大股东是美国资本国际集团,第二大股东是美国的黑岩集团。  中国在光刻机技术方面曾站在世界“第一方阵”,1965年研制出了65型接触式光刻机,1985年研制出的分步光刻机样机,当时与国外先进水平差距不超过7年,但此后,我国开始从国外购买光刻机。自20世纪90年代起,阿斯麦等国外企业却迅速崛起。  眼下,我国光刻机产业处处被“卡脖子”。接受本报记者调研的企业称:“卡脖子”的难点主要在两处:一是光源,光刻机要求体系小、功率高而稳定的光源;二是镜片,为了让光线能够精确地照射到硅片上刻画出微小的图案,需要一系列高精度和高光滑度的镜片来聚焦和校准光线。  上海微电子副董事长贺荣明在受访时表示:“2002年,我国专家出国考察时,对方工程师说,哪怕把所有图纸都给你们,你们也未必能做出光刻机。”回国后,贺荣明带领团队夜以继日攻关,研发团队经过5年终于在曝光这个关键环节取得重大突破,之后不断闯关。目前,上海微电子已可量产90纳米分辨率的SSX600系列光刻机,28纳米分辨率的光刻机也有望取得突破。  国产化率日渐提升  贺荣明带领的上海微电子,仅仅是我国企业在光刻机走向自主可控进程中付出努力的一个缩影。近年来,多家A股上市公司已经进入到光刻机全球产业链各环节之中,包括光刻机光源系统厂商福晶科技,物镜系统厂商奥普光电,涂胶显影厂商芯源微、富创精密,光掩膜版厂商清溢光电、华润微,缺陷检测厂商精测电子,光刻胶厂商南大光电、容大感光,光刻气体厂商雅克科技、华特气体等。  其中,富创精密是阿斯麦的供应商之一,全球为数不多的能够量产应用于7纳米工艺制程半导体设备的精密零部件制造商。对于国产化问题,富创精密表示:“公司将在现有产品的基础上逐步实现半导体设备精密零部件的国产化。”  华特气体则表示:“公司产品已批量供应14纳米、7纳米等产线,部分氟碳类产品、氢化物已进入到5纳米的先进制程工艺中使用。”  中微公司将产业的快速发展归功于资本市场的助力。中微公司董秘刘晓宇表示:“资本市场不仅解决了公司资金需求,并且带来广泛的社会资源和产业链上下游资源,形成产业链协同效应。”  随着产业链上下游企业的共同努力,光刻机的国产化率日渐提升。  浙商证券研报表示,当前我国在清洗、热处理、去胶设备的国产化率分别达到34%、40%、90%;在涂胶显影、刻蚀、真空镀膜的国产化率达到10%至30%;在原子层沉积、光刻、量测检测、离子注入的国产化率暂时低于5%。  正如工银投行研究中心信息技术行业首席分析师许可源所言,全球半导体产业碎片化趋势显现,对于我国半导体产业,国产替代成为未来发展的长期逻辑。随着国内半导体制造和封测产能的持续扩张,将为国内设备厂商提供更多验证与导入的机遇,带动国内产业在技术和市场上的突破。  有望借量子技术换道超车  除了上述各领域的创新外,被誉为新一轮科技革命的战略制高点——量子科学领域,中国位列全球“第一方阵”。量子计算机对复杂数据的计算能力大大超过传统计算机的极限,这为“中国芯”换道超车提供了技术支持。  目前,华为的超导量子芯片专利技术,大幅提升量子芯片的良率,已经超过了英特尔;本源量子已经研发出中国首个自主研发的超导量子计算机本源悟源。  中天汇富投资控股集团董事长、本源量子创业合伙人黄罡向记者表示:“公司从诞生之日开始,就把实现自主可控作为根本目标。我国有庞大的应用场景,有生机勃发的产业生态,为量子技术发展提供沃土。”  不管是科技攻关还是换道超车,都离不开国家政策的护航。国家“十四五”规划和2035年远景目标纲要提出,要加强原创性引领性科技攻关。  “近年来,在许多科技创新的关键领域,我国取得的成果可圈可点,一些企业脱颖而出进入国际市场参与全球化竞争,这与我国高度重视并出台产业政策进行资源支持密不可分。”中央财经大学数字经济融合创新发展中心主任陈端向记者表示。  中国半导体行业协会副理事长于燮康也对记者表示:“尽管我国半导体产业面临技术等各种挑战,但高速增长的国内市场规模也为产业升级优化提供了重要机遇。”
  • 韩国吉佳蓝中国总部落户无锡,将建刻蚀设备研发制造基地
    据无锡日报消息,日前,韩国吉佳蓝公司与无锡市签署合作协议,在无锡高新区落户中国总部项目,将在无锡建设半导体刻蚀设备研发制造基地。据悉,此次吉佳蓝与无锡高新区、市产业集团签约合作在锡落户中国总部项目,将建设刻蚀设备装配生产线和设备产品验证线,同时计划引入纳米压印光刻设备生产,努力打造海外优质半导体装备项目示范标杆,进一步增强无锡集成电路产业核心竞争力。无锡市市长赵建军表示,期待吉佳蓝加快建设中国总部项目,尽早发挥带动效应,促进半导体刻蚀设备产业链本地化发展,加速落地更多产业链上下游企业,在锡打造集成电路产业优质生态圈。据了解,吉佳蓝是韩国科斯达克上市企业,主要产品包括半导体刻蚀机、LED元件刻蚀机、纳米压印光刻设备等,其中LED刻蚀设备出货量多年来在全球市场排名前列。
  • W.S.TYLER® 于2012年6月1号开始生产带有激光蚀刻识别铭牌的试验筛
    2012-05-08 W.S. TYLER 非常高兴地宣布他们将在2012年6月1号开始生产带有激光蚀刻识别铭牌的试验筛。 刻蚀的信息要求符合工业标准并永久性地刻蚀到筛网的金属框架上。筛网的铭牌区域比传统的要大,让使用者有更大的能见度,另外,永久性的标记避免了因机械紧固失效导致的金属铭牌丢失,仪器的筛网功能和测试能力不会受到任何影响。 产品将在6月1号或前后逐步开始采用,并且所有的筛网产品将在年底之前完成由金属铭牌向激光刻蚀铭牌的改变。 W.S. Tyler 在2011年庆祝试验筛网产品100周年,作为全球范围的组织领导者,Tyler将继续提供市场上质量和服务*的产品,为我们的客户创造价值。 了解更多信息,请联上海凯来实验设备有限公司。联系人:杨先生电话:021-58955731邮箱:webmaster@chemlabcorp.com
  • 从“小破厂”到全球一哥,光刻机巨头ASML的周期逆袭史
    随着2022年疫情起伏,一些城市进入封闭和静态管理节奏,很多创业公司也进入经营的艰难时刻。穿越周期对任何公司来说都并不容易,此刻我们想梳理和研究一些公司,它们或是某个硬科技领域的隐形冠军,或是一些重要赛道的著名公司,来看看艰难时期它们是怎么成长的。  第一篇我们选择了阿斯麦ASML,“光刻机”作为一个赢者通吃的硬科技领域,在它身上显现了太多科技公司发展的要素——如何押注正确的技术路径?是选择渐进式创新还是颠覆式创新?如何在短时间内做出正确的战略决策?如何发挥出高效的执行力?……这些也都是当下硬科技公司所需要面对的难题。ASML从一个被抛弃的研发项目,屡次走在破产边缘,到如今成为全球光刻机霸主,它如何度过那些绝境时刻?如何小心翼翼地穿越周期?尽管很多公司的成功不可复制,但这样的故事依然是引发思考的绝佳养料。  “他们不来了?他们不来了?他们不能这样做!” ASML总裁兼首席技术官马丁范登布林克差点把他的电话机砸烂。1991年因为海湾战争的爆发,出于安全考虑很多跨国公司禁止高管乘坐飞机。  “他们”指的是IBM,因为这样的禁令,IBM的高管无法来与ASML进行最后的合同谈判。但此时ASML的财务状况几乎进了ICU,如果拿不到IBM的订单,1991年的ASML就会破产。ASML压上了全部身家,为了IBM的订单疯狂努力了好几年,这些努力,都会因为这场跟他们毫无关系的战争而化为泡影。  1991年的ASML,还远不是我们今天看到的ASML。今天ASML风光无限,光刻机被称为现代工业皇冠上的明珠,是制造芯片的核心设备,全世界只有少数几家公司拥有这样的技术。中国芯片产业最大的短板就是EUV光刻机,而这家荷兰公司占有45nm以下高端光刻机80%的市场,而在极紫外光(EUV)领域,ASML是全球独家生产者。  但曾经的ASML,无数次走在资金链断裂的边缘,小心翼翼地穿越经济周期。贯穿始终的,是ASML对技术路径的卓越把握和几乎无止境的研发投入。从推出PAS 2500在光刻机领域站稳脚跟,随后经过改进的PAS 5500进入头部行列,到与台积电合作成果研制浸没式光刻机系列,一举奠定霸主地位。  再到2010年推出第一台EUV光刻机原型,以及通过外延并购形成整体光刻产品组合,从ASML的发展历程中可以看出,要想做出一家战略级硬科技公司,是需要冒多么大的风险,有着多么大的决心,花费多么大的资金,才有可能成长起来。  我们通过书籍、券商研报、媒体报道等资料,研究了ASML的发展史,并结合对硬科技的投资逻辑总结了一些观点。以下,Enjoy:  经济危机救了ASML:我们看到ASML是怎么小心翼翼地穿越经济周期,回过头来看惊诧地发现,其实经济危机救了它   硬科技的艰难抉择——押注改进还是颠覆:ASML真正的崛起里程碑,是选对了技术路径,但有时候成功来自于渐进式创新,有时候又来自于颠覆式创新,选对了一飞冲天,选错了万丈深渊,我们来看看ASML的启示   关键转折点——贵人相助与敌人犯错:企业要想成功,离不开盟友助力与敌人犯错,台积电是ASML的贵人,两家力推的浸没式光刻技术,打败了当时流行的干式光刻技术,这也源自敌人尼康、佳能的错误。当运气来了,要怎么抓住它,看看ASML是怎么做的   合作才能走得更远:今天的ASML 90%的零件其实是外购的,它是一家集成商,背后是美国、日本、欧洲、中国台湾、韩国多家公司与研究所的技术支撑,最终才能量产出极度复杂的EUV光刻机,合作与形成利益共同体是长远之道。  1  经济危机救了ASML  “坐视我们这种高风险企业快速倒闭,是典型的荷兰人做法。如果我们办公室的灯连续13个晚上亮着,政府劳工检验员会要求查看我们的工作许可证。但我们要把一个关键的战略产业拱手让给美国和日本吗?那我只能说,你们以后就去快乐地挤牛奶、搅黄油和种郁金香吧。” 德尔普拉多曾愤愤不平地在接受媒体采访时说。  德尔普拉多是ASM的创始人,他在1984年接手了被飞利浦抛弃的光刻机研发团队,成立了合资公司ASML。ASM是制造芯片生产设备的,但无论从技术和规模上,飞利浦都看不上ASM,所以在寻找接手方时,连谈判的机会都没有给它。  德尔普拉多是个猛人,他几乎吃饭、睡觉和呼吸都在ASM,他的魅力、野心和无畏展露无遗。ASM有欣欣向荣的一面,但也有深陷泥沼的一面。欣欣向荣的是,ASM是荷兰经济惨淡景象中的一颗璀璨明珠,正从一家设备分销公司转型为独立设备制造商,收入开始增长 但深陷泥沼的一面是连年的亏损、不大的规模、面临众多技术先进的竞争对手……普拉多一直在用“芯片是战略产业”这一点来吸引荷兰政府资金的投入,但政府耐心也有限。  直到1983年,飞利浦在其他人那里碰了一鼻子灰,在经历了和3家公司谈判失败后,所有人都士气低落。而ASM在纳斯达克的成功上市,令飞利浦看到也许ASM还是有钱的。在飞利浦高层再一次明确必须放弃像光刻机这样的非核心业务后,必须抓住最后一次机会来挽救光刻机团队,阻止裁员的发生。  飞利浦光刻机项目早期的产品SiRe1 图片来源:Lithography giant:ASML's rise  于是,ASM作为最后一根稻草,会谈开始了。这场会议只持续了1个小时15分钟。“对不起,失陪一小会儿。”普拉多与飞利浦光刻机团队负责人克鲁伊夫聊了15分钟后,他走出房间与团队商量。将近一个小时过去了,他才回来,然后说:“让我们一起做吧。”  光刻机业务符合普拉多的雄心壮志,他制造了芯片生产过程中每一道工序所需要的机器,但唯独缺乏最具战略性的光刻机。  但合并一个光刻机团队也是巨大的冒险。在这场谈判的一年前,ASM的收入才3700万美元,然而仅新一代步进光刻机的研发费用,就将远远超过5000万美元。并且与光刻机所需的先进技术相比,ASM以前掌握的技术简直不值一提。  一家小公司与巨头合作,话语权往往落在谁更需要谁。先进技术令飞利浦在新成立的合资公司ASML中享有很大话语权,为了获得飞利浦Natlab技术实验室的后续访问权限,ASM不得不答应在新公司中与飞利浦平分股权。  飞利浦在交易中还想尽可能节约资金,财务部门起草了一份详细的合资企业必须支付的费用清单,包括为制造20台步进光刻机所需订购的零件和材料费用,以至于“这家新公司买杯咖啡就会破产”。  这就是ASML艰难的成立史,它像一艘好不容易凑齐水手、仍在四处漏水的小船,一边修补一边扬帆起航。这个艰难的开始,与后面ASML所要面临的困难相比,也只是九牛一毛。  从ASML成立的1984年开始,后面连续3年遭遇了市场长时期衰退,行业增长陷入停滞。但研究ASML的学者们提出了一种观点,市场崩溃最终证明是对公司的天赐之物。  为什么说经济危机救了ASML?  荷兰高科技学院(HTI)的董事总经理瑞尼雷吉梅克,以及诸多ASML的早期员工都认为,经济危机打击了当时的巨头,但奇迹般地给了ASML喘息的时间,让它有足够的时间来重塑其研发和生产部门,因为当时刚刚起步的ASML,走错了油压技术路线、装配厂也还根本无法生产真正的大订单。那时如果芯片设备市场特别好,而ASML却卖不出光刻机,那么ASML会立刻失败。  另一方面,由于ASML的定位是光刻机集成商,一些零部件还需要依靠上游生产商,比如镜头,就需要德国蔡司生产,但蔡司当时的产能情况也非常糟糕。如果市场在1984年高速增长,蔡司都无法满足当时光刻机老大GCA的需求,更不可能给ASML足够的供应。  当然,这些认知是用后视镜来看,由ASML早期管理层总结出来的。但在1987年秋天,当时没有人能够感受到这种奇迹。  在经济衰退的这三年,刚刚起步的ASML主要在修炼内功,从一个士气低落、被抛弃的团队,逐渐变成一个自力更生的开发团队,物流和大规模生产系统也趋于成熟,销售和营销也已成为一股重要力量。  这种艰难开局还奠定了一个坚实的心理基础——要坚持熬过周期,在后来ASML多次濒临破产边缘时,都跟ASML在第一天就面临的困难一样。  工人正在超净室里组装 图片来源:Lithography giant:ASML's rise  2  硬科技的艰难抉择——押注改进还是颠覆  “等你卖了20台光刻机后,再回来找我谈。”  时任ASML CEO斯密特在加州一场世界一流的芯片设备展上备受打击,他到处宣扬飞利浦的光刻机项目起死回生了,但得到的反馈寥寥无几。当时的光刻机巨头是美国GCA和新崛起的日本尼康,装机量(在客户工厂中运行的机器数量)是所有人关心的关键指标,GCA和尼康已经达到数百台,而ASML还是零。  这个指标之所以重要,是因为光刻机过于复杂,以至于光刻机供应商需要配备大量服务工程师,以应对突发情况。一些微小的因素就会导致光刻机出现问题,实践经验非常重要。  带着绝望的心情,斯密特回到了荷兰,他除了觉得芯片行业充满活力之外,其他都是沮丧的消息。绝境逼人思考,当他回顾在整个差旅中看的一切时,似乎在黑暗中有一丝光线若隐若现。  当时,整个芯片行业即将跨越一个难关,这为设备制造商创造了机会。在加州的展会上,每个人都在谈论摩尔定律,谈论下一代机器——从大规模集成电路(LSI)到超大规模集成电路(VLSI)。  显然在未来几年内,芯片线路将缩小到1/1000毫米以下,光刻机处理的将不再是4英寸的晶圆,而是6英寸的晶圆。  随着这个转变,超大规模集成电路需要新一代光刻机,这种机器要能够将0.7微米的细节成像到晶圆上,并实现更紧密的微电子集成。在所有的坏消息中,唯一的好消息就是,还没有人找到制造这种光刻机的方法。  大门虽关闭,但窗户已打开。斯密特与团队一起探讨,如果ASML成功开发出新一代光刻机,那么半导体行业就会被他拿下。  斯密特之所以有这样的信心,是因为新一代光刻机必须在光学、对准和定位等几乎每个方面都大幅改进。当时的行业巨头佳能、GCA、尼康和Perkin-Elmer公司制造的机器仍然使用导程螺丝杆来移动晶圆台,这意味着他们的图像细节达不到小于1微米的定位精度,而这正是ASML技术的优势所在。  斯密特也是一位有远见的人。他以前研究过航空业的整合行动,在他还在上大学的时候,世界上有50家飞机制造厂,当他拿到博士学位后,就只剩下几家了。他还在上一份工作经历中见证过电信业的技术变革。他知道一家新公司,在成熟市场是没有机会的,除非这家新厂商选择对了技术路径。Lithography giant:ASML's rise  技术路径深刻影响了光刻机公司们的起起伏伏,我们总结了三个重要启示:  早期优势有可能会转化为阻碍  ASML由于承袭了飞利浦的光刻机技术,在一开始采用的是油压驱动,而非电动。  在1973年,当爱德鲍尔在飞利浦制造了第一台步进光刻机时,这个基于油压驱动的晶圆台遥遥领先于时代。当时油压是一项卓越的技术,如果没有受到挑战是很难被放弃的。  油压装置提供了稳定性和精度极高的定位系统,但它有一个问题,就是机油如果泄漏,则会对芯片制造过程造成严重破坏。在80巴的压力下,即使是最微量的泄漏也会将整个房间喷上油雾,污染将使芯片生产停滞数月,油在芯片生产过程中是“毒药”。  并且,机油系统还会产生很多噪声,需要定制外壳来减少噪声。这些问题导致了油压驱动的光刻机没有客户。  但由于技术依赖的惯性,飞利浦没有改进这个问题,直到剥离光刻机项目。而到了ASML,也没有在一开始就重视这个问题,斯密特仍希望将这种油压设备,硬卖给那些想要尝试其高级对准系统的客户。  当然,结果肯定是失败的。虽然ASML有一张技术王牌——能够实现精准套刻的对准技术,但由于这项技术被应用于油压驱动的机器中,就是没有人买。最终斯密特决定放弃油压,改为电动晶圆台,这意味更多的研发经费、更短的研发时间、和一定的失败几率,但也不得不迎难而上。  渐进式创新的影响力可能超出想象  20世纪80年代,ASML在光刻机领域还算不上最头部的公司。当时的老大要属美国GCA。但GCA在80年代中期就迅速衰败了。  当时导致GCA失败的最终因素,主要是蔡司的g线镜头,一种光线漂移问题严重。在开始时一切都很好,但随着光刻机运行的时间变长,图像质量就会下降。因为急于向客户交付光刻机,所以GCA在把镜头安装在机器上之前不会对镜头进行检查,这导致GCA交付了数百台带有故障镜头的光刻机,而蔡司多年来对这个问题一无所知,只有不到10%的镜头被送回进行维修。  更大的问题是GCA的光刻机无法自动纠正此类错误,工程师们也不知道问题出现的确切原因。  此时,一种渐进式创新出现了。GCA的日本竞争对手(尼康)设法改进了光刻机的聚焦系统。尼康依次开发出了具有较大数值孔径的g线目镜,这种组合令尼康的系统,能够更清晰地将微小图案成像到光刻胶的薄层上。  这项渐进式创新,令尼康斩获颇丰。当时有很多厂商正在大规模投入g线技术向i线技术革新。但客户们都很看好尼康的改进,因为他们只需要换掉GCA的光刻机,而不是是重新创建一个全新的基础设施。在制造更好芯片的同时,还节省了大量资金。  在技术转型期要格外小心这些因素,尼康对g线镜头的微小创新只是其中一个。当现有技术的寿命延长,对昂贵新技术的需求就会减弱,这意味着投入时机的重要性。  要探索技术路径的迷雾,赛马制可能是不错的手段  ASML也一样会面临抉择,到底是逐步改善现有技术,还是投入新的?ASML里程碑式的光刻机PAS 5500,就是在这样的抉择中诞生的。  工程师要做的不仅是机器的物理设计,他们还必须在初期选择技术路径,然后再扩展物理设计。如果机器架构从一开始就不可靠,那么以后各个环节都会遇到麻烦,问题还将持续多年。  例如晶圆台精度就是一个不确定因素。当时,ASML在其机器中使用带有直线电动机的H型晶圆台,但随着市场对“对准精度”的要求越来越高,很难说这种技术路径的产品能在市场上存活多久。  此时,摆在面前的问题是,ASML应该选择逐步改善,还是彻底革新?如果选择逐步改善,这种技术路径很可能最终无法满足市场的新需求 另一种选择是使用革命性的长冲程、短冲程发动机寻求突破,但研发会有风险。  ASML PAS 5500的首席架构师范登布林克没有直接做出决定,其实他也很难判断到底孰优孰劣。由于这个决策意义重大,他决定在这两条路上分别试验6-9个月,两个团队分别在自己的技术路径上赛马。  最后,技术竞赛证明旧H型晶圆台,有足够的潜力定位8英寸的晶圆,所以ASML选择了这条保险的路线。长短冲程发动机被暂时雪藏,但也可作为更新换代的备选方案。  PAS 5500对于ASML来说,是一款决定性的产品,ASML把所有希望寄托在它身上,PAS 5500也的确推动ASML走向光刻机世界的舞台中心。所以在这种重大的决策上,多花点研发经费是划算的,技术路径的赛马机制是值得的。  经历了多年的苦心经营,ASML在步进扫描光刻机时代走到了巨头行列,当时的市场形成了三家独大的局面:ASML、尼康、佳能。  但令ASML真正登上霸主宝座,弯道超车打败另外两家的契机,来自于颠覆式创新,来自于台积电的一个发明。  3  关键转折点——贵人相助与敌人犯错  技术赛马制之所以重要,就在于当颠覆式创新的机会来临时,提供支撑勇气的判断。  ASML最大的弯道超车,发生在193nm制程到157nm制程的升级过程。过去步进扫描光刻机采取的技术路线都是干式法,通过用更高级的曝光光源,来支撑技术进步到下一代。为了追求更高的分辨率,光源波长从最初的365nm,到248nm,再到193nm,但再往下走时,这条技术路径出现了困难。  当时业内又面临是押注改进还是颠覆的抉择。大部分企业选择了在原有技术路径上改进,比如两大巨头尼康、佳能,都选择进一步研发157nm波长的光源,但遇到了困难。  这时候,一种全新的技术理念出现在市场上——浸没式。这个思路由台积电的华裔越南科学家林本坚提出,他创造性的用水作为曝光介质,光源波长还是用原来的193nm,但通过水的折射,使进入光阻的波长缩小到134nm。  以前的干式法中,曝光介质用的是空气。它们的区别在于折射率,193 nm光源在空气中的折射率为1,在水中折射率为1.4,这也就意味着相同光源条件下,浸没式光刻机的分辨率可以提高1.4倍。  当时很多人认为浸没式技术难度太大,首先水可能会把镜头上的脏东西洗出来,影响工作效能 还有人担心水中的气泡、光线明暗等因素,会影响折射效果。林本坚也在着手攻克这些问题,比如用去离子水和其他手段,来保持水的洁净度和温度,使其不起气泡。  但理论归理论,能不能从实验室真正到工厂,还需要经验丰富的设备商一起开发。林本坚去美国、日本、德国、荷兰跑了一大圈,向光刻机厂商兜售浸没式光刻的想法。但是,绝大部分大厂都不买账。  不买账的原因除了这项技术走得太“鬼才”,还有不少想法需要验证之外,另一个原因就是改变的沉没成本太高。当时主流的研发思路,都是在157nm的干式光刻技术路径上。诸多公司已经耗费了大量财力、人力、物力,如果用这种“加水”的想法,各个研究团队就得全部重新开始,推翻原有的大部分设计。  所以巨头们对林本坚的态度,不仅仅是不理睬,而是封杀。尼康甚至向台积电施压,要求雪藏林本坚。在现实利益面前,这样的事情还发生过很多,比如柯达其实是最早研发出数码相机的公司,但缺乏自我颠覆的勇气,因为恐惧它威胁到自己的胶片业务,反而是雪藏了数码相机。  终于当林本坚跑到了荷兰时,ASML愿意做第一个吃螃蟹的勇士。虽然ASML也是从干式光刻机起家,但它想通过赛马制来赌一把,既然尼康、佳能都在死磕干式法157nm光源,且进展不顺利,那这支“奇兵”的意义就是巨大的。  最终浸润式成功了。2003年,ASML和台积电合作研发的首台浸没式光刻设备——TWINSCAN XT:1150i出炉,第二年又出了改进版。同年,研发进度拖慢的尼康,终于宣布了157nm的干式光刻机产品样机出炉。  但此时胜负已定,一面是用原来193nm光源但通过水进化到132nm波长的新技术,一面是157nm波长的样机,浸润式技术的优势不言而喻,这一技术成为此后65、45和32nm制程的主流,推动摩尔定律往前跃进了三代。  颠覆式创新的毁灭力也是巨大的。尼康、佳能由于对技术路径的判断失误,不仅意味着几百亿研发资金打了水漂,更是在与ASML的竞争中彻底落败。在2000年之前的16年里,ASML虽然跻身第一梯队,但是第一梯队里最小的玩家,占据的市场份额不足10%。  但自浸没式技术出现后,一路摧枯拉朽,全面碾压昔日巨头尼康、佳能,2008年市场占比超过60%。整个日本的半导体厂商,以及IBM等巨头,也都迅速衰落。  4  合作才能走得更远  为了进一步巩固战果,ASML开始打造上下游利益共同体。  由于浸没式技术的独家性,ASML要求所有合作伙伴必须投资它,否则就不合作。Intel、三星、台积电等等都投资了ASML,大半个半导体行业成为了ASML一家的合作伙伴,形成了庞大的利益共同体,大家都绑在了一条船上。  值得注意的是,在研发浸没式光刻设备的同时,ASML还早期布局了EUV技术,可谓走一步看三步。中国现在买不到的EUV光刻机,就是这种最前沿的产物。  我们在前文提到,尼康开发干式157nm光源遭遇了困难,就是因为不停缩小光源波长越来越困难,浸没式光刻技术虽然通过水的折射率暂时领先,但在未来,也一样会面临需要不停缩小波长的问题。  极紫外光(EUV)就像曾经的浸没式技术一样,拥有另辟蹊径的潜力,因为它的光波长极小,可以创造出比传统光刻小得多的电路。从1990年代末开始,直到2017年推出第一台商用EUV机器,这个项目共耗资90亿美元。  EUV代表产生电路的极紫外光 图片来源:New York Times  资金只是一方面,EUV的量产并不是一家公司的能力,而是多方合作的共同结果。美国政府之所以对ASML拥有影响力,就是因为美国政府和美国科研力量,是开发中极其重要的一环。  早在1997年,英特尔认识到进一步缩小光源波长的困难,渴望通过EUV来另辟蹊径。英特尔说服了美国政府,组建了“EUV LLC”的组织,包含了商业力量和政府科研力量,例如摩托罗拉、AMD、英特尔等,还汇集了美国三大国家实验室,美国成员构成了主体。  在对外国成员的选择上,英特尔和白宫产生了分歧,英特尔想让在光刻机领域有实力的ASML和尼康入局,但白宫认为如此重要的先进技术研发不该有”外人”入局。  此时ASML展示出了惊人的技术前瞻性,一定要挤进EUV LLC,虽然这个组织的目标是为了论证EUV技术的可行性,而不是量产它。ASML强力游说,开出了很难拒绝的条件——由ASML出资在美国建工厂和研发中心,并保证55%的原材料都从美国采购。  几百名全球顶尖的研发人员,经过了6年时间,终于论证了EUV的可行性,于是EUV LLC的使命完成,于2003年解散,各个成员踏上独自研发之路。  此时的ASML刚在浸润式技术上奇兵致胜,然后就立即投入到EUV的研发中。ASML每年将营业收入的15%用于研发,比如2017年的研发费用就高达97亿人民币。越投入技术越强,竞争对手都逐渐跟不上了。  EUV的技术难度非常高,在先进的EUV光刻机中,为了产生波长13.5nm超短波长的光,需要持续用20kw的激光轰击从空中掉落的金属锡液滴,液滴直径只有20微米,而且同一个液滴需要极端时间内连续轰击两次,第一次冲击是将它们压平,第二次冲击是将它们汽化,才能产生足够强度的极紫外光。为了保证光的持续性,每秒要轰击5万次。  EUV光刻机被誉为人类制造的最复杂机器之一,各个环节的高度专业性也汇集了全球的尖端产业,其中要用到来自德国的反射镜,以及在圣地亚哥开发的硬件,这种硬件通过用激光喷射锡滴来产生光,重要化学品和元件则来自日本。ASML还于2012年收购了顶级光源企业Cymer。  EUV光刻机绝对是人类制造的最精密复杂的设备之一  运输该机器需要40个集装箱、20辆卡车和三架波音747飞机 图片来源:New York Times  ASML其实是一个集大成者(集成商),也是全球化的受益者。ASML 90%的零部件来自于外购,再由最理解客户需求和产业发展趋势的ASML集成。ASML的背后是美国、日本、欧洲、中国台湾、韩国的技术支撑,最终才能量产出极度复杂的EUV光刻机。  这就是尖端供应链全球化的典型例子,如果中国想在芯片领域取得大幅进步,那就不得不面对一个由多方构成、缺一不可的全球尖端供应链。  早在ASML成立最初的几个月里,就确定了它合作的基因。ASML只进行研发和组装,并不什么都由自己制造。这种理念在1984年是十分超前的,因为当时欧洲流行的信念是“你最好什么都自己做才能控制一切”,当时很多人都认为ASML疯了:“培养合作伙伴与把钥匙交给别人是同一种意思,这是在自找麻烦,你会完全失去控制权。”  但事实证明合作才能走得更远。  最后,我想回到文章开头那个小故事:当海湾战争让IBM的高管无法前来面谈,完全打乱了ASML的计划,令它处于破产边缘时,ASML是如何破局的?  ASML高价雇佣了一个视频摄制组,花了一天时间,把原计划要向IBM展示的全部内容拍了下来,那天晚上,PAS 2500的项目经理理查德乔治,带着录像磁带和一位视频编辑在工作室里通宵剪辑影片。  第二天早上,当时的ASML CEO马里斯带队,手里拿着宝贵的录像带,飞到了IBM。评审会获得了空前成功,IBM的人看录像时吃惊得差点从椅子上摔了下来,他们从来没有见过这样先进的设备,整个房间里的人都十分激动。  如果你仔细翻阅ASML的发展史,你会看到无数个处于绝境的时刻,以及无数个绝境逢生的时刻,是很难,但总有办法。
  • 预算3300万!上海交通大学采购DUV光刻机
    近日,上海交通大学发布招标公告,采购深紫外步进式光刻机,预算达3300万元。以下为公告详情:上海交通大学电子信息与电气工程学院深紫外步进式光刻机国际招标公开招标公告(重招)项目概况上海交通大学电子信息与电气工程学院深紫外步进式光刻机 招标项目的潜在投标人应在上海市共和新路1301号C座110室获取招标文件,并于2021年03月03日 09点30分(北京时间)前递交投标文件。一、项目基本情况项目编号:0834-2141SH21A033项目名称:上海交通大学电子信息与电气工程学院深紫外步进式光刻机预算金额:3300.0000000 万元(人民币)最高限价(如有):3300.0000000 万元(人民币)采购需求:序号货物名称数量简要技术规格交货期交货地点1深紫外步进式光刻机1套曝光光源: 深紫外准分子激光,波长248nm。分辨率:£ 150nm(详见第八章)买方发出发货通知后4个月发货。关境外货物:CIP上海交通大学关境内货物:上海交通大学合同履行期限:买方发出发货通知后4个月本项目( 不接受 )联合体投标。
  • 佳能推出适合高功率半导体的光刻机
    日本佳能正通过光刻机加快抢占高功能半导体市场。佳能时隔7年更新了面向小型基板的半导体光刻机,提高了生产效率。在用于纯电动汽车(EV)的功率半导体和用于物联网的传感器需求有望扩大的背景下,佳能推进支持多种半导体的产品战略。目标是在三大巨头垄断的光刻机市场上确立自主地位。佳能将于2021年3月发售新型光刻机“FPA-3030i5a”,该设备使用波长为365纳米的“i线”光源,支持直径从2英寸(约5厘米)到8英寸(约20厘米)的小型基板。分辨率为0.35微米,更新了测量晶圆位置的构件和软件。与以往机型相比,生产效率提高约17%。佳能的光刻机新机型调整了测量晶圆位置的“校准示波器”的构成,与曝光工序分开设置了测量单元。通过同时进行纵横两个方向的测量而缩短了时间,并通过扩大测量光的波长范围,实现了对难以识别标记的多层基板和透明基板的支持,而且能够识别出晶圆背面的标记。除了目前主流的硅晶圆之外,新机型还可以提高小型晶圆较多的化合物半导体的生产效率。包括功率器件耐压性等出色的碳化硅(SiC),以及作为5G相关半导体材料而受到期待的氮化镓(GaN)等。随着纯电动汽车和物联网的普及,高性能半导体的需求有望增加。在半导体光刻机领域,荷兰ASML和日本的佳能、尼康3家企业占据了全球9成以上的份额。在促进提升半导体性能的精细化领域,可使用短波长的“EUV”光源的ASML目前处于优势地位。佳能光学设备业务本部副业务部长三浦圣也表示,佳能将根据半导体材料和基板尺寸等客户制造的半导体种类来扩大产品线。按照客户的需求,对机身及晶圆台等平台、投影透镜、校准示波器三个主要单元进行开发和组合,建立齐全的产品群。佳能还致力于研发“后期工序”(制作半导体芯片之后的封装加工等)中使用的光刻机。2020年7月推出了用于515毫米×510毫米大型基板的光刻机。以此来获取把制成的多个芯片排列在一起、一次性进行精细布线和封装的需求。佳能还致力于“纳米压印”(将嵌有电路图案的模板压在硅晶圆的树脂上形成电路)光刻设备的研发。据悉还将着力开展新一代生产工艺的研发。
  • 清华团队新成果在《自然》发表:有望解决光刻机自主研发难题
    2月25日,清华大学工程物理系教授唐传祥研究组与来自亥姆霍兹柏林材料与能源研究中心(HZB)以及德国联邦物理技术研究院(PTB)的合作团队在《自然》(Nature)上发表了题为《稳态微聚束原理的实验演示》(Experimental demonstration of the mechanism of steady-state microbunching)的研究论文,报告了一种新型粒子加速器光源“稳态微聚束”(Steady-state microbunching,SSMB)的首个原理验证实验。基于SSMB原理,能获得高功率、高重频、窄带宽的相干辐射,波长可覆盖从太赫兹到极紫外(EUV)波段,有望为光子科学研究提供广阔的新机遇。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。“我国EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的‘卡脖子’难题。”唐传祥说。SSMB原理验证实验示意图。 图源《自然》SSMB原理验证实验结果。 图源《自然》光刻机是芯片制造中必不可少的精密设备SSMB概念由斯坦福大学教授、清华杰出访问教授赵午与其博士生Daniel Ratner于2010年提出。赵午持续推动SSMB的研究与国际合作。2017年,唐传祥与赵午发起该项实验,唐传祥研究组主导完成了实验的理论分析和物理设计,并开发测试实验的激光系统,与合作单位进行实验,并完成了实验数据分析与文章撰写。唐传祥教授和HZB的Jörg Feikes博士为论文通讯作者,清华工物系2015级博士生邓秀杰为论文第一作者。“SSMB光源的潜在应用之一是作为未来EUV光刻机的光源,这是国际社会高度关注清华大学SSMB研究的重要原因。”唐传祥介绍。在芯片制造的产业链中,光刻机是必不可少的精密设备,是集成电路芯片制造中最复杂和关键的工艺步骤。光刻机的曝光分辨率与波长直接相关,半个多世纪以来,光刻机光源的波长不断缩小,芯片工业界公认的新一代主流光刻技术是采用波长为13.5纳米光源的EUV(极紫外光源)光刻。EUV光刻机工作相当于用波长只有头发直径一万分之一的极紫外光,在晶圆上“雕刻”电路,最后将让指甲盖大小的芯片包含上百亿个晶体管,这种设备工艺展现了人类科技发展的顶级水平。荷兰ASML公司是目前世界上唯一的EUV光刻机供应商,每台EUV光刻机售价超过1亿美元。新成果有望解决自主研发光刻机的“卡脖子”难题唐传祥介绍,大功率的EUV光源是EUV光刻机的核心基础。目前ASML公司采用的是高能脉冲激光轰击液态锡靶,形成等离子体然后产生波长13.5纳米的EUV光源,功率约250瓦。而随着芯片工艺节点的不断缩小,预计对EUV光源功率的要求将不断提升,达到千瓦量级。“简而言之,光刻机需要的EUV光,要求是波长短,功率大。”唐传祥说,“大功率EUV光源的突破对于EUV光刻进一步的应用和发展至关重要。基于SSMB的EUV光源有望实现大的平均功率,并具备向更短波长扩展的潜力,为大功率EUV光源的突破提供全新的解决思路。”唐传祥指出,EUV光刻机的自主研发还有很长的路要走,基于SSMB的EUV光源有望解决自主研发光刻机中最核心的“卡脖子”难题。这需要SSMB EUV光源的持续科技攻关,也需要上下游产业链的配合,才能获得真正成功。《自然》评阅人对该研究高度评价,认为 “展示了一种新的方法论”,“必将引起粒子加速器和同步辐射领域的兴趣”。《自然》相关评论文章写到“该实验展示了如何结合现有两类主要加速器光源——同步辐射光源及自由电子激光——的特性。SSMB光源未来有望应用于EUV光刻和角分辨光电子能谱学等领域。”目前,清华正积极支持和推动SSMB EUV光源在国家层面的立项工作。清华SSMB研究组已向国家发改委提交“稳态微聚束极紫外光源研究装置”的项目建议书,申报“十四五”国家重大科技基础设施。
  • 八种光刻技术盘点 国产化进展喜人
    光刻是将掩模版上的图形转移到涂有光致抗蚀剂(或称光刻胶)的硅片上,通过一系列生产步骤将硅片表面薄膜的特定部分除去的一种图形转移技术。光刻技术是借用照相技术、平板印刷技术的基础上发展起来的半导体关键工艺技术。随着半导体技术的发展,光刻技术传递图形的尺寸限度缩小了2~3个数量级(从毫米级到亚微米级),已从常规光学技术发展到应用电子束、 X射线、微离子束、激光等新技术;使用波长已从4000埃扩展到 0.1埃数量级范围。光刻技术成为一种精密的微细加工技术。随着技术的发展,光刻技术不断推陈出新,出现了很多针对某几种用途的专门技术,在此特为大家盘点介绍一些光刻技术。掩模光刻掩膜光刻由光源发出的光束,经掩膜版在感光材料上成像,具体可分为接近、接触式光刻以及投影光刻。相较于接触式光刻和接近式光刻技术,投影式光刻技术更加先进,通过投影的原理能够在使用相同尺寸掩膜版的情况下获得更小比例的图像,从而实现更精细的成像。目前,投影式光刻在最小线宽、对位精度、产能等核心指标方面能够满足各种不同制程泛半导体产品大规模制造的需要,成为当前 IC 前道制造、IC 后道封装以及 FPD 制造等泛半导体领域的主流光刻技术。根据光源不同,掩模光刻机还可以分为紫外光源(UV)、深紫外光源(DUV)、极紫外光源(EUV)。为了提供波长更短的光源,极紫外光源(EUV)为业界采用。目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出13.5 nm的光子,作为光刻机光源。目前仅有由荷兰飞利浦公司发展而来的ASML(阿斯麦)一家可提供可供量产用的EUV光刻机。这是目前最先进的光刻技术。X射线光刻X射线因为波长很短,所以几乎没有衍射效应,所以很早就进入了光刻技术研发的视野内,并且在八十年代就有了X射线光刻。九十年代,IBM在美国佛蒙特州建了一条采用同步辐射光源的X射线光刻机为主力的高频IC生产线,美国军方为主要客户。而当年X射线光刻技术,是当时的下一代光刻技术的强有力竞争者。后来随着准分子激光和GaF透镜技术的成熟,深紫外光刻技术延续了下去,在分辨率和经济性上都打败了X射线光刻。X射线光刻就退出了主流光刻技术的竞争。现在用X射线光刻的,主要是LIGA技术,用来制造高深宽比结构的一种技术,可以制造出100:1的深宽比,应用于MEMS技术当中。由于X射线准直性非常好,传统的X射线光刻,是1:1复制的。掩模版使用的是硅梁支撑的低应力氮化硅薄膜,上面有一层图形化的金,作为掩蔽层。曝光方式采用扫描的方式,效率不高。X射线光源最大的优势在于他可以做出高深宽比的图形,但是最大的问题也是由于他的穿透性太强导致了无法用透镜进行放大和缩小,因此图形尺寸和掩模版的尺寸相同,所以X射线光刻过分依赖电子束光刻掩模版的精度,故目前没有大量普及。离子束光刻离子束投影曝光系统的结构和工作原理与光学投影曝光的结构与原理类似,所不同的是曝光粒子是离子、光学系统采用离子光学系统,而掩模版则由可通过和吸收离子的材料制备。离子束曝光掩模版通常采用Si材料制成投射/散射式的二相掩模版技术。离子束投射光学系统一般也采用4:1缩小的投射方式,透镜实际上是一个可对离子进行聚焦作用的多电极静电系统。常见的离子束光刻技术包括聚焦离子束光刻(FIB)和离子投影光刻(IPL)。FIB系统采用液态金属离子源,加热同时伴随着一定的拔出电压获得金属离子束,通过质量选择器来选择离子,通过电子透镜精细聚焦的金属离子,在偏转线圈的作用下,形成扫描光栅。离子束可通过溅射对样品进行表面成像。聚焦式离子束技术是利用静电透镜将离子束聚焦成非常小尺寸(与电子束直写光刻技术类似。不需要掩膜板,应用高能粒子朿直写。离子投影曝光( lPL)是将平行的离子束穿过掩膜,将缩小的招膜图形投射到基底上,使用PMMA光刻胶。当具有一定能量的离子撞击靶材表面时两者之间会发生一系列的交互作用,其中包括膨胀、刻蚀、沉积、铣削、注入、背散射和形核反应等。主要用于制作修复掩膜版和对晶直接光刻。但离子束光刻存在离子源制备,掩膜板畸变,衬底工艺损伤,效率低等问题,很难在生产中作为曝光工具应用,目前主要用作VISI中的掩模修补工具和特殊器件的修整。电子束曝光电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。纳米压印技术纳米压印技术是一种新型的微纳加工技术。该技术通过机械转移的手段,达到了超高的分辨率,有望在未来取代传统光刻技术,成为微电子、材料领域的重要加工手段。纳米压印技术,是通过光刻胶辅助,将模板上的微纳结构转移到待加工材料上的技术。报道的加工精度已经达到2纳米,超过了传统光刻技术达到的分辨率。这项技术最初由美国普林斯顿大学的Stephen. Y. Chou(周郁)教授在20世纪90年代中期发明。由于纳米压印技术的加工过程不使用可见光或紫外光加工图案,而是使用机械手段进行图案转移,这种方法能达到很高的分辨率。报道的最高分辨率可达2纳米。此外,模板可以反复使用,无疑大大降低了加工成本,也有效缩短了加工时间。因此,纳米压印技术具有超高分辨率、易量产、低成本、一致性高的技术优点,被认为是一种有望代替现有光刻技术的加工手段。热探针扫描技术热扫描探针光刻(t-SPL)是近年来新开发出的一种光刻技术,其与当今的电子束光刻(EBL)相比具有更多的优势:首先,热光刻显改善了二维晶体管的质量,抵消了肖特基势垒,阻碍了金属与二维衬底交界处的电子流动;与电子束光刻(EBL)不同,热光刻技术使芯片设计人员能够轻松地对二维半导体进行成像,之后在需要的地方对电极进行图案化; 此外,热扫描探针光刻(t-SPL)制造系统有望在初期节省成本;最后,通过使用平行热探针,能够轻松地将该热制造方法推广到批量的工业生产当中。成本更低,有望成为当今电子束光刻的替代品。激光直写技术激光直写技术是一种近年来应用广泛的超精密加工技术。激光直写是利用强度可变的激光束对基片表面的抗蚀材料实施变剂量曝光,显影后在抗蚀层表面形成所要求的浮雕轮廓。激光直写系统的基本工作原理是由计算机控制高精度激光束扫描,在光刻胶上直接曝光写出所设计的任意图形,从而把设计图形直接转移到掩模上。激光直写技术主要用于制作平面计算全图、掩模、微透镜、微透镜阵列、Fresnel微透镜、Fresnel波带板、连续位相浮雕的闪耀光学元件等,制作工艺己经逐渐成熟。激光直写技术的发展趋势是从直角坐标写入系统到极坐标写入系统,直至多功能写入系统;从基片小尺寸到大尺寸,从平面写入到球面、柱面以及曲面;从利用光刻胶材料到聚合物以及其他特殊工艺材料;写入元件的特征尺寸从几百微米到亚微米;元件制作时间从几天到几小时甚至几分钟;从制作二值图样到写入连续浮雕轮廓 从光学元件到微电子、集成电路、集成光学器件等;从发达的国家到发展中国家,并己经应用到空间光学、光通讯、光学显示等领域,为DOE和微电子、微光学、微机械器件的制作提供了一种新的制作设备。多光子聚合光刻技术双光子聚合是物质在发生双光子吸收后所引发的光聚合过程。双光子吸收是指物质的一个分子同时吸收两个光子的过程,只能在强激光作用下发生,是一种强激光下光与物质相互作用的现象,属于三阶非线性效应的一种。双光子吸收的发生主要在脉冲激光所产生的超强激光的焦点处,光路上其他地方的激光强度不足以产生双光子吸收,而由于所用光波长较长,能量较低,相应的单光子过程不能发生,因此,双光子过程具有良好的空间选择性。一般利用双光子聚合制造3D打印机,可以实现突破传统光学衍射极限的增材制造。不过,华中科技大学的甘棕松教授发明的超分辨纳米光刻技术利用光刻胶双光子吸收特性,采用双束光进行光刻,一束为飞秒脉冲激光,经过扩束整形进入到物镜,聚焦成一个很小的光斑,光刻胶通过双光子过程吸收该飞秒光的能量,发生光物理化学反应引发光刻胶发生固化;另外一束为连续激光,同样经过扩束整形后,进入到同一个物镜里,聚焦形成一个中心为零的空心状光斑,与飞秒激光光斑的中心空间重合,光刻胶吸收该连续光的能量,发生光物理化学反应,阻止光刻胶发生固化。两束光同时作用,最终只有连续光空心光斑中心部位的地方被固化。甘棕松教授目前已经把空心光斑中心部位最小做到9nm,至此突破光学衍射极限的超分辨光刻技术在常规光刻胶上得以完美实现。光刻机国产化现状虽然各种光刻技术不断涌现,但相比于传统的紫外掩模光刻技术而言,大都在工业量产中都无法完全克服生产效率低、对准精度低、分辨率低等缺点。目前,应用较多的光刻技术主要为EUV、DUV等掩模光刻技术,用于工业量产,也是最受关注的光刻技术。公开资料显示,中国最强的光刻机生产商是上海微电子装备公司(SMEE),主要研发DUV光刻机,目前其最先进的SSA600/20光刻机分辨率可达90nm。上海微电子是国内唯一从事研发、生产以及销售高端光刻机的公司,也是全球第四家生产IC前道光刻机的公司。在2020年,金融局走访调研上海微电子时,上海微电子预计将于2022年交付首台28nm工艺国产沉浸式光刻机,国产光刻机将从此前的90nm制程一举突破到28nm制程。上海微电子在中端先进封装光刻机和LED光刻机领域技术领先,先进封装光刻机国内市场占有率高达80%、全球市场占有率达40%,LED光刻机市场占有率第一。实际上,02专项要求实现半导体设备28nm制程的国产化,目前国望光学的物镜、科益虹源的光源、华卓精科的双工件台、启尔机电的浸液系统等零部件都已实现突破,只差上海微电子光刻机集成。位于北京亦庄的国产验证28nm产线也预计明年投产,届时上海微电子的28nm光刻机有望导入产线,实现28nm光刻设备的国产化替代。此外,国产EUV量产型光刻机目前仍在开发中,中国科学院长春光学精密机械与物理研究所在2016年验收了原理技术样机,合工大已开发出DPP-EUV光源,但功率较低。电子束光刻目前国内主要由电工所在开发,但相比于国际厂商还存在差距。而纳米压印技术国内的主要厂商为青岛天仁微纳,现已成为纳米压印领域市场占有额超过95%的头部企业,建立了自主知识产权的核心技术与专利壁垒,设备销售遍布国内知名大学科研院所和企业。激光直写光刻设备主要国产厂商包括江苏速影、合肥芯碁等,与国际巨头Heidelberg、矽万等相比,技术差距正逐渐缩小。光刻设备的国产化不仅推动了半导体产业的进步,同时也推动了国产仪器市场的发展。笔者从其他渠道了解到,上海微电子也采购了某国产双频激光干涉仪。由于最早国产的先进前道光刻机由国企上海微电子(SMEE)开启研制,2007年上海微电子大量采用外国关键零部件集成了90 nm干式投影光刻机。后因《瓦森纳协定》的限制,关键部件被国外“卡脖子”而失败。随着国内仪器设备的技术进步,上海微电子通过采购国产零部件集成先进的光刻机,促进了国产仪器市场发展。目前,主流光刻设备厂商包括,ASML、Nikon、Canon、上海微电子、合肥芯碁、Heidelberg、江苏速影、矽万、SUSS、苏大维格、Veeco、光机所、EVG、ABM、苏州源卓、合肥芯硕、长春长光中天、中国电科、大族激光、中山新诺等。更多仪器请查看以下专场【光刻机】【电子束刻蚀】。
  • 中国半导体进口创新高!狂买荷兰光刻机
    据中国海关数据显示,在日本和荷兰针对半导体设备的出口限制新规生效前,今年6月和7月,中国进口的半导体设备价值总额接近50亿美元,相比去年同期的29亿美元增长了70%,其中大部分进口的半导体设备来自于荷兰和日本。  自去年10月,美国限制先进半导体设备对华出口之后,另外两大半导体设备强国——日本和荷兰也相继出台了对先进半导体设备的出口管制规定。  日本自7月23日开始实施限制措施,而荷兰的限制措施将于9月1日正式生效。这些限制意味着相关设备厂商的出口,需要向政府申请许可证,这也引起了中国的芯片制造商的普遍担忧。  因此,可能会有一些芯片制造商希望在限制措施生效前采购更多的设备,以减少未来的不确定性所带来的冲击。  据中国海关总署数据,2022年我国光刻机进口总额39.63亿美元,其中从荷兰进口额为25.48亿美元,占比64.3%。  2023年1-7月份,从荷兰进口的光刻机金额为25.86亿美元,同比增长64.8%,7月份进口额为6.26亿美元,同比增长近8倍,不过环比下降了28.4%。  熟悉荷兰半导体设备采购的业内人士表示,由于全球最大的光刻机制造商ASML向中国客户交付了更多光刻机,今年6月和7月,中国对荷兰芯片制造设备的进口量相比5月翻了一番。  虽然目前尚不清楚中国进口半导体设备的增加在多大程度上与将受到限制有关,但这些半导体设备进口的增长表明,中国扩大芯片制造规模的努力并未因为外部的阻挠而放缓。  ASML公布的财报也显示,今年二季度,来自中国大陆的销售收入占比为24%,相比一季度的8%,大幅增加了16个百分点,增长了200%。  在所有销售的设备当中,ArFi设备(浸没式DUV设备)销量相比一季度大涨了56%,增长了14台至39台。  对于二季度DUV设备销量的大幅增加,ASML首席执行官彼得温宁克(Peter Wennink)解释称,“在DUV上,需求仍然高于我们的生产能力。虽然我们已经看到了行业中不同细分市场的需求出现了一些挤出。然而,我们的中国客户在过去两年中的需求满足率远远低于50%。所以,我们的中国客户说:我们很乐意接受别人不想要的机器。因为他们的工厂已经准备好了。因此,当有可用的设备时,他们会拿走这些设备。所以,总的来说,我们的DUV业务看起来仍然相当强劲。”  除了荷兰外,中国从日本进口的设备也有所增加。2020年美国开始收紧对半导体设备的出口管制后,一些中国公司开始从日本公司购买蚀刻设备和晶圆涂布机。  中国从包括新加坡和中国台湾在内的其他地区购买的半导体设备,也为这个创纪录的进口额做出了贡献。资料显示,应用材料公司有在新加坡生产半导体制造设备。  根据中国海关的数据,中国 4 月份从新加坡进口了价值约 4.07 亿美元的半导体制造设备。这是自 2022 年 8 月以来的最高金额,较 3 月份增长 9.6%。  专家表示,尽管出口管制收紧带来了挑战,但中国对半导体设备进口额的大幅增长,显示中国正在继续扩大成熟制程的生产。  市场研究机构Counterpoint的数据显示,今年第二季度,前五大半导体设备制造商对中国的出货量增长了30%。  Counterpoint高级研究分析师Ashwath Rao表示:“中国制造商对于成熟制程的投资有助于确保当地供应,同时也可以缓冲地缘政治的不确定性。”电动汽车、绿色能源转型和工业应用的大多数芯片,只需要成熟制程设备进行制造。  值得一提的是,中国本土的半导体设备厂商也在奋力发展,并有望帮助国内半导体制造商实现对于部分进口受限设备的替代。同时这些国产半导体设备制造商也在推动对于自身所需的进口受限零部件的国产替代。  8月25日,国产半导体设备大厂中微公司董事长尹志尧在与分析师举行的电话会议上就公开表示,自去年10月以来,国内客户加速采用中微的蚀刻设备。  在全球及国内半导体设备投资整体下滑的趋势(上半年中国大陆半导体设备市场同比萎缩33%)之下,中微公司今年上半年的销售额逆势增长了28.13%。  据尹志尧透露,中微在中国电容耦合等离子体(CCP)刻蚀设备市场的市场份额预计将从去年10月的24%增至60%。在电感耦合等离子体(ICP)工具市场,在曾经占据主导地位的美国泛林半导体(Lam Research)的份额大幅下降后,其份额可能会从几乎为零上升到75%。  业绩报告显示,上半年CCP和ICP两种主要刻蚀设备合计收入约占该公司总收入的68%。  尹志尧表示,随着中国深化半导体自给自足,将半导体制造设备和关键零部件纳入其中。  预计到今年年底,中微公司80%的限制进口零部件可以在国内进行替代,随后将在明年下半年实现100%的替代。  “我们有详细的关键零部件国产化路线图。”尹志尧说道:“设备使用国产零部件可以进一步打开中微公司的中国市场。”
  • 晶瑞光刻机的前世今生:来之不易的光刻机
    光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,近日却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。苏州晶瑞曾先后承担国家“863”、“02”等重大专项,为微电子材料国产化做出了重要贡献。近日,苏州晶瑞发布公告称购得ASML XT 1900 Gi型光刻机一台,声称取得突破性进展,意义重大。目前设备于已运抵苏州并成功搬入公司高端光刻胶研发实验室。而此次购买旨在研发出更高端的ArF光刻胶,并最终实现应用于12英寸芯片制造的战略布局。甚至,相关媒体称这台光刻机将用于28nm光刻胶研发。据了解,这是一台13年前的ASML的DUV光刻机,总价款为 1102.5 万美元(折合 7508 万人民币)。然而,小编在网上和ASML官网并未查找到该型号光刻机的信息。最近,有网友透露了这款光刻机的相关信息,让读者可以一窥这款光刻机的的前世今生。据悉,这台光刻机是中国大陆最早一台浸没式光刻机,由当年无锡海力士采购。几年后,海力士发生大火,火扑灭之后,海力士无奈之下花了大价钱,去原厂维修之后就运回韩国,做其他产品去了。13年后,SK hynix淘汰旧设备,这台机器进入中国大陆公司视野。光刻机一直是国内半导体产业采购的难点,特别是ASML的光刻机更是供不应求。面对这次来自不易的机会,去年9月底,晶瑞就发布公告说,准备向韩国芯片厂商SK海力士购买ASML光刻机。未来在对设备进行翻修后,这台光刻机将协助晶瑞进行光刻胶的研发。不仅晶瑞股份在采购光刻机,南大光电、上海新阳等光刻胶研发企业都对ASML的光刻机情有独钟,也都各自采购了相应的光刻机。该网友进一步透露,2月份后,西安三星就有一批机况非常好的光刻机要拿出来卖,大约有3-4台,价格更便宜,性能更好,维修费更低。届时这些设备花落谁家,我们将持续关注。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制