当前位置: 仪器信息网 > 行业主题 > >

暴光定时器

仪器信息网暴光定时器专题为您提供2024年最新暴光定时器价格报价、厂家品牌的相关信息, 包括暴光定时器参数、型号等,不管是国产,还是进口品牌的暴光定时器您都可以在这里找到。 除此之外,仪器信息网还免费为您整合暴光定时器相关的耗材配件、试剂标物,还有暴光定时器相关的最新资讯、资料,以及暴光定时器相关的解决方案。

暴光定时器相关的资讯

  • 涉及上百台仪器,晶瑞光刻胶研发工艺曝光
    IC光刻胶开发一般来说会涉及研发设备和测试设备,其中研发设备主要就是以混配釜和过滤设备为主,此类设备需考虑纯度控制,设备内一般使用PFA内衬或PTFE涂层,避免金属离子析出。测试设备(必备的)ICP-MS、膜厚仪、旋涂机、显影器、LPC、质谱、GPC,另外关于光刻机也是核心部分。光刻胶是半导体产业重要的耗材,而有这样一家企业从事光刻胶研发多年,去年却因采购光刻机投入了人们的视野,登上了风口浪尖。苏州晶瑞化学股份有限公司(已更名为“晶瑞电子材料股份有限公司”)是一家微电子化学品及其它精细化工品生产商,公司的产品主要包括超净高纯试剂、光刻胶、功能性材料以及锂电池粘结剂等,可应用于半导体、光伏太阳能电池、LED等相关行业,具体应用到下游电子信息产品的清洗、光刻、制备等工艺环节。其采购光刻机主要用于晶瑞化学集成电路用高端光刻胶研发项目。近日,仪器信息网从公开文件了解到该项目的相关信息,涉及工艺流程和仪器配置等信息,详情如下:项目主体工程研发方案建设项目工程一览表本项目主要生产设备一览表营运期工艺流程及产污分析:工艺流程及简述:本项目通过小试实验为晶瑞化学股份有限公司生产提供技术支撑,不产生具体产品,实验室在进行实验后得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料作为危险废物委托有资质单位处理,不作为产品销售或外卖。1. 研发工艺流程图因研发中心项目每次开发过程中所使用的化学原料、可能发生的化学反应等均具有不确定性,因此研发中心项目的流程以实验研发中心为单元进行表示如下:本次研发中心项目工作流程图工艺流程描述研发中心项目具体操作流程如下:a、实验前风险评估:在此阶段科学家将对需进行的研究进行预研发风险分析,并通过相关的安全分析得出需研究项目的试验安全等级,确定试验过程中需采取的安全和环保措施。b、风险评估通过后将进入研发小试实验阶段:因研发中心项目每次实验需用到的物料和用量均无法事先设定,需根据具体的研发方向和实验要求来确定,因此研发中心项目的物料使用种类和使用量具有不确定性。但公司从环保角度考虑,研发中心项目各实验室均按标准化实验室进行建设,本次研究实验除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实验室通风橱内进行,通风橱收集率为 90%,光刻机为密闭系统,产生的废气由单独的管道收集,收集率为 98%。收集后的废气经一套“蜂窝活性炭+袋式活性炭”两级活性炭处理装置处理后由 30m 高排气筒 P4 排放。研发中心项目实验过程得到的合成树脂与光产酸剂用于合成光刻胶,光刻胶性能测试结束后剩余物料均收集后作为危废委外处理,有妥善的处理处置方式。具体研发实验工艺:1、树脂合成工艺:树脂合成工艺流程树脂合成工艺流程简述如下:除氧:常温、常压下,向搭载机械搅拌、冷凝管和温度计的四口烧瓶中持续通入氮气,除去反应瓶中的氧气,氮气作为保护气体,可以保护后续反应不受氧气干扰。聚合反应:除氧后向四口烧瓶中依次加入反应所需单体,引发剂及适量溶剂后,将四口烧瓶置于油浴锅(加热辅材为硅油)中使用机械搅拌器搅拌至四口烧瓶中的物料搅拌成透明均一的溶液,于设定温度条件下油浴锅加热反应,红外监测反应进程。油浴加热为间接加热,使用硅油作为加热辅材,硅油的沸点高于100摄氏度,油浴加热所需的加热温度为 20~60 摄氏度,该温度下硅油几乎不产生油雾,反应在通风橱中进行。引发剂和溶剂的添加种类与添加量,单体的配比等根据设定的工艺路线及实验的测试结果进行优化。该过程使用的单体有:(A)丙烯酸酯类单体(甲基丙烯酸 5-氧代四氢呋喃-3-基酯,2-甲基 2-金刚烷基甲基丙烯酸酯,丙烯酸叔丁酯);(B)马来酸酐;(C)降冰片烯;加入的溶剂为二氧六环;引发剂为:对甲基苯磺酸、偶氮二异庚腈、偶氮二异丁酸二甲酯、偶氮二异丁腈、过氧化苯甲酰,以及氨水。反应过程中无废液产生,反应装置使用自来水间接冷却。该反应过程产生G1-1 有机废气、G1-2 氨气。聚合反应方程式一次清洗、过滤、干燥:使用滴液漏斗将树脂溶液用丙酮稀释,通过滴液漏斗缓慢滴加到 5 倍用量纯水中,将上述混合物倒入布氏漏斗,并用真空泵抽滤,得到白色粉末产物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止)。树脂沉淀过滤过程中,产生 S1-2 废滤材及 S1-2 清洗废液,均作为危废委托有资质单位进行处理。干燥过程产生 G1-2 有机废气。金属离子去除:将离子交换树脂填充到离子交换柱中。将醋酸丁酯和聚合物粉末于烧杯中溶解,并调节体系固含至 15-20 wt%。将树脂溶液直接倒入离子交换柱中,流经离子交换树脂,循环多次,ICP-MS 金属离子浓度低于 10 ppb。该过程产生固体 G1-3 有机废气、S1-3 离子交换树脂。二次清洗、过滤、干燥:将树脂溶液缓慢滴加到去 5 倍用量的纯水中(1L废水量),抽滤得到白色粉末状聚合物,将得到的产物放置于 65 ℃ 烘箱烘 20h(仪器可定时,烘干结束后自动停止),产生 S1-4 废液、S1-5 废滤材、G1-4 有机废气。水分测试:加入卡尔菲休试剂,使用水分仪检测水分含量至 2000ppm,该过程产生 G1-5 有机废气,S1-6 测试废液。理化性质测试:树脂经过真空干燥后,在测试实验室中使用四氢呋喃、DMF、四氢呋喃、重水、氘代丙酮、氘代氯仿、DMSO-d6、甘油、丙二醇甲醚醋酸酯、乙腈、丙酮、溴化钾、硝酸钾等溶剂对树脂的理化性质进行测试。通过核磁测试聚合物结构,通过凝胶渗透色谱测定聚合物分子量大小,该过程产生 G1-6 有机废气以及 S1-7 测试废液。2、光产酸剂制备工艺:光产酸剂制备工艺流程生产工艺流程简述如下:备料:光产酸剂制备研发实验常用的原料包括:对羟基苯磺酸钠、十二烷基苯磺酸、樟脑坤磺酸钠、和三苯基氯化硫鎓盐,二苯基氯化碘鎓盐、醋酸酐、间苯二酚等;溶剂包括:纯水、甲醇等;该工序产生 G2-1 有机废气。合成:将光产酸合成所需原料钠盐加入到搭载机械搅拌的四口烧瓶中,用水溶解。光产酸剂合成反应方程式萃取:通过滴液漏斗向烧瓶中缓慢滴加鎓盐溶液,于室温下反应 3-5 个小时。静止分层,除去上层水溶液,并继续用水洗涤 3 次,用甲醇萃取产物,该工序产生 S7 废液。该工序产生 S2-1 废液以及 G2-2 有机废气。干燥、过滤:用无水硫酸钠干燥甲醇萃取液 24h,然后过滤。该工序产生 S2-2硫酸钠以及 S2-3 废滤材。旋蒸:使用旋转蒸发仪将滤液旋蒸后得到产物光产酸剂。该过程产生 G2-3有机废气。3、光刻胶制备与测试:光刻胶制备与测试工艺流程该工艺全部在光刻机中进行,工艺流程简述如下:样品制备与测试:样品制备所用树脂为实验室自主研发合成,光致产酸剂为自主研发合成;所用溶剂包括:丙二醇甲醚醋酸酯、乳酸乙酯、二甲苯、γ -丁内酯、丁酮、丙二醇单甲醚、醋酸丁酯、石油醚、二甘醇单丁醚、甲基异丁基酮、DMAC、NMP等。调制时根据设定的工艺路线或前次的测试结果选择加入不同的树脂和溶剂。将所用的树脂与光致产酸剂、碱性添加物三辛胺等和溶剂按照一定的比例混合、溶解。样品调制用树脂主要包括:酚醛树脂、重氮萘醌磺酸酯、叠氮类化合物、甲醚化三聚氰胺等。光产酸剂有:三苯基硫鎓盐、二苯基碘鎓盐、三嗪类化合物等。样品制备过程中无化学反应发生,不产生污染物。过滤:使用漏斗等过滤仪器将样品过滤,该工序产生 S3-1 废滤材。光刻胶成膜、烘干:使用匀胶显影涂布机将调制好的光刻胶涂布在硅片上,涂布好的硅片用100℃热板烘干。涂布、烘干过程中光刻胶中的有机溶剂挥发产生 G3-1 有机废气;剩余的光刻胶报废处理,产生 S3-2 废光刻胶。冷却:将涂布、烘干后的硅片冷却至室温,该工序产生 G3-2 有机废气。光刻胶曝光显影:将冷却至室温的硅片放入曝光机内曝光。曝光结束后将硅片放入显影液中显影,显影后使用纯水清洗硅片即可得到微米或纳米级别图案。实验室常用的显影液包括:四甲基氢氧化铵、氢氧化钾、氢氧化钠溶液等,该工序产生 S3-3 碱性废液。成像测试:主要通过显微镜、椭偏仪等仪器观察光刻胶图形的成像效果。测试后产生 S3-4 废硅片。4、仪器清洁:仪器清洗工艺流程工艺流程简述如下:残余物溶解:加丙酮溶解仪器内残留的光刻胶或树脂,产生溶解废液 S4-1,丙酮挥发产生有机废气 G4-1;清洗溶剂:加少量纯水,清洗仪器内残留的废液,产生含有机溶剂的清洗废液 S4-2,丙酮挥发产生有机废气 G4-2;擦拭:使用无尘布蘸取少量丙酮擦拭干净仪器内壁,产生有机废气 G4-3。润洗:待仪器干燥后,使用纯水对仪器进行润洗,产生的 W1 润洗水排入污水管网;干燥:仪器清洗干净后放在置物架自然晾干或放入烘箱烘干。上述流程除光刻胶制备与测试在密闭的光刻机中进行,其他实验步骤均在实验室通风橱内进行。5、设备清洗设备清洗工艺流程使用纯水对设备进行清洗,使用的工段有:(1)显影工艺中对硅片进行喷淋清洗;(2)湿法曝光工段中作为镜头与硅片间的浸没液体;该工序产生清洗废液,作为危废委托有资质单位进行处理。纯水使用情况详情见下表:设备清洗用水汇总
  • 那些被315曝光的食品企业现在怎样了 ?
    2023年315晚会上,央视曝光了一起震惊公众的食品安全事件——“香精大米”现象。安徽淮南寿县永良米业、安徽合肥香王粮油食品科技有限公司、安徽淮南市楚丰工贸有限公司、上海朗枫香料有限公司等企业因涉嫌生产和销售假冒伪劣的“泰国香米”,在2023年315晚会上被点名批评。事件发生后,市场监管、公安等联合执法组迅速介入,对涉事企业进行了严格的查处。安徽香王粮油食品科技有限公司因其在食品中添加其他化学物质和其他可能危害人体健康的物质,于2023年6月被列入严重失信企业名单。随后,该公司遭遇多家食品经销商的起诉,并在同年8月被吊销食品生产许可证和营业执照,同时被处以罚款。寿县永良粮油食品有限公司和淮南市楚丰工贸有限公司作为“香精大米”的加工厂商,分别被处以200万元和555.88万元的罚款。楚丰工贸公司因此次事件受到的冲击尤为严重,五年内不得从事食品经营相关工作,其负责人也因大量欠债而官司缠身,多次被列为失信人员。企查查显示负责人被限制高消费,企业存在11条自身风险21条关联风险。相比之下,作为香精供应商的上海朗枫香料有限公司和上海枫米实业有限公司,在去年的风波中并未受到明显的处罚。这一现象引发了公众对于食品安全监管力度和公平性的广泛讨论。2022年央视“315晚会”上,一则土坑酸菜制作过程被曝光,工人穿着拖鞋甚至光脚踩踏腌制土坑酸菜,以及制作环节中各种不卫生的操作方式,让人作呕。主要涉事企业湖南插旗菜业等酸菜企业是康师傅老坛酸菜等经典方便面的供应商。事发后,湖南当地监管部门对涉事酸菜企业进行了查处,康师傅等方便面品牌也纷纷致歉。酸菜类方便面被大面积下架。尽管事发2月后,这些酸菜方便面带着检测证明重新上架各大商超,但是土坑酸菜的制作过程给广大消费者留下了很深的心理阴影,酸爽的酸菜方便面再也难以回到昔日的繁华。这些事件不仅对涉事企业造成了直接的经济损失和声誉损害,也引起了消费者对于食品安全的高度关注。食品安全问题关乎民众的健康福祉,任何忽视质量、违反法规的行为都将受到法律的严惩。这起事件也警示着其他食品生产企业,必须严格遵守食品安全法律法规,确保产品质量,维护消费者权益。在食品安全监管方面,此事件也促使相关部门加强了对食品生产和销售环节的监督检查,提升了食品安全法规的执行力度。通过加大惩处力度,提高违法成本,可以有效遏制食品安全违法行为,保护消费者的饮食健康。总的说来,315晚会曝光的食品安全事件,不仅是对涉事企业的一次沉重打击,也是对整个食品行业的一次深刻警醒。它提醒所有食品生产和经营企业,必须将食品安全放在首位,严格遵守法律法规,切实承担起保障食品安全的责任。同时,也呼吁监管部门持续加强食品安全监管,确保消费者餐桌上的每一份食物都是安全、健康的。
  • "315"曝光兽药滥用 这些仪器企业在行动!
    p  刚刚过去的“315”,央视晚会曝光了多家公司涉嫌违规在饲料中添加兽药。/pp  其中,成武县旺泰饲料有限公司的产品速肥肽、郑州百瑞动物药业有限公司的产品厚祺峥重(浓缩型)、河南漯河市宇信生物科技有限公司的产品日长三斤、江苏远方中汇生物科技有限公司的产品造肉1号以及河南新纪元动物药业有限公司的产品都被爆添加了违禁成分。/pp style="text-align: center"img src="http://img1.17img.cn/17img/images/201703/noimg/fe3a6c7e-9715-4f1b-b8a9-e16bd2fc78a6.jpg" title="177694d7-42c6-456b-ad2b-657e4f46d5f2.jpg"//pp  据新闻爆料,喹乙醇和二氢吡啶以及人用兽药成饲料添加中的主要违禁成分。/pp  此前,国家饲料药剂添加规范明令记载喹乙醇适用于35公斤以下猪,禁用于禽,兽药管理条例更是明令禁止将人用药用于动物,而且农业部2009年曾发文整治喹乙醇滥用。这些违禁成分可能造成人体耐药性,对人体造成潜在危害。/pp strong 何为喹乙醇?/strong/pp  又称喹酰胺醇,商品名为倍育诺、快育灵。由于喹乙醇有中度至明显的蓄积毒性,对大多数动物有明显的致畸作用,对人也有潜在的三致性,即致畸形,致突变,致癌。喹乙醇本身不稳定,在短时间内代谢,其在动物体内有多种代谢产物,以喹噁啉 -2-羧酸(QCA)、3-甲基喹噁啉-2-羧酸(MQCA)最为常见。/pp  《中国兽药典》(2005版)明确规定,喹乙醇被禁止用于家禽及水产养殖。另外,《兽药典》及《饲料药物添加剂使用规范》也都明确规定了喹乙醇的适用范围。/pp  2009年国标8381.7文件、2006年农业部781号公告和2014年农业部2086号公告就先后推出过测定饲料中喹乙醇,动物源食品中喹噁啉-2-羧酸、3-甲基喹噁啉-2-羧酸的液相和液相色谱-质谱检测方法。/pp  在全民关注“315”之际,屡遭曝光的违规兽药也引起了仪器厂商的关注。近期,各大厂商纷纷推出用于违规兽药添加剂检测的解决方案,仪器信息网编辑摘录部分如下:/pp strongspan style="color: rgb(0, 112, 192) " a style="color: rgb(0, 112, 192) text-decoration: underline " title="沃特世:应对喹乙醇及其代谢物测定提供完整解决方案" target="_blank" href="http://www.instrument.com.cn/application/Solution-822363.html"span style="color: rgb(0, 112, 192) "沃特世:应对喹乙醇及其代谢物测定提供完整解决方案/span/a/span/strong/pp  沃特世作为液质仪器行业整体解决方案的领先者,不仅利用Oasis聚合物填料中的HLB(200mg/6CC)及MAX(60mg/3CC)分别将本次曝光的喹乙醇及其代谢物等富集净化,而且也将此类化合物收录在四极杆及高分辨兽药筛查数据库中,更结合国标方法推出了测定喹乙醇及其代谢物的完整解决方案,以助相关检测机构高效检测食品饲料中兽药残留,保障人民食品安全....../pp  a title="莱伯泰科:饲料样品中喹乙醇检测产品配置单(固相萃取)" target="_blank" href="http://www.instrument.com.cn/application/Solution-822326.html"span style="color: rgb(0, 112, 192) "strong莱伯泰科:饲料样品中喹乙醇检测产品配置单(固相萃取)/strong/span/a/pp  本方法使用SePRO全自动高通量柱膜通用固相萃取系统净化饲料中喹乙醇回收率在90.9%~96.3%,RSD为2.0%,结果比较理想,验证样品加标浓度在10ug/mL回收率可靠,实验可行,避免人工操作繁琐的问题....../pp  a title="维德维康:“315”检测产品列表" target="_blank" href="http://www.instrument.com.cn/netshow/sh103232/news_214958.htm"strongspan style="color: rgb(0, 112, 192) "维德维康:“315”检测产品列表/span/strong/a/pp  2003年我国农业部明确规定了在猪肌肉与肝脏组织中喹乙醇代谢物的最大残留限量分别为:4 μg/kg( ppb) 、50μg/kg (ppb)。就此,维德维康推出酶联免疫试剂盒和胶体金快速检测卡等产品....../pp  a title="天津阿尔塔科技:First Standard® 标准品应用于兽药检测" target="_blank" href="http://www.instrument.com.cn/netshow/SH103034/news_214936.htm"span style="color: rgb(0, 112, 192) "strong天津阿尔塔科技:First Standard® 标准品应用于兽药检测/strong/span/a/pp  在近日的315曝光中,一些饲料企业瞒天过海往饲料中非法添加各种“禁药”,包括“人用西药”。标准品是兽药检测中的必备试剂,First Standard® 标准品涵盖文中的所有兽药种类....../pp  a style="color: rgb(0, 112, 192) text-decoration: underline " title="【315曝光:饲料中的喹乙醇】看睿科检测解决方案" target="_blank" href="http://www.instrument.com.cn/netshow/SH102039/news_214987.htm"span style="color: rgb(0, 112, 192) "strong【315曝光:饲料中的喹乙醇】看睿科检测解决方案/strong/span/a/pp  本文参考《农业部2086号公告-5-2014饲料中卡巴氧、乙酰甲喹、喹烯酮和喹乙醇的测定液相色谱-串联质谱法》,建立了利用高通量全自动固相萃取仪(reeko fotector plus)结合液相色谱/质谱检测饲料中喹乙醇的方法....../pp  a title="猪肉中的药物残留害人害己 3.15海能在行动!" target="_blank" href="http://www.instrument.com.cn/netshow/SH101343/news_214878.htm"span style="color: rgb(0, 112, 192) "strong猪肉中的药物残留害人害己,3.15海能在行动!/strong/span/a/pp  饲料违规添加禁用药物,使饲养的动物傻吃酣睡猛长,但是抗生素却在肉中有残留,人食用这种肉以后,或产生“耐药性”。海能仪器应用实验室,现针对食用肉类中四种硝基呋喃类代谢物残留量测定,为大家提供以下方案....../ppbr//p
  • “自来水真相”曝光后的思考
    这些年来,中国食品安全领域的丑闻频频曝光,而所有人对食品安全的失望或许只停留在猪肉、牛奶、蔬菜、食用油等一些基本的食品层面上,却唯独对水信任有加。在食品安全隐患不断的当下,为远离瘦肉精,我们可以不吃猪肉;为避开“破皮鞋”,我们可以不吃果冻和老酸奶 为避开地沟油,我们可以减少外出就餐或少吃油 然而,我们可以不吃猪肉,可以不吃果冻和老酸奶,也可以少吃油甚至不吃油,但却不能不喝水。水是我们谁都无法避开的东西,水是万物之源,然而就是这最重要的饮用水,最近也赶上食品安全的潮流,成为大家谈及色变的东西。  据媒体报道称,全国有共计4000余家自来水厂,为4亿多县级以上城市居民,每天供应6000万吨自来水。然而,根据2009年的住建部水质中心的普查,却有1000家以上城市自来水厂出厂水水质不合格。有专家估计,实际合格率也就是50%左右。  如果不是媒体这篇关于自来水调查的报告曝光,大家对于自来水的认识或许还停留在各地方政府宣称的“合格、达标”上,出于对政府的信任,大家在对食品安全担忧之余,并未对自来水的安全表示怀疑。然而,事实却是,这所谓的“合格、达标”等同于食品安全事故高发时,国家质检总局关于“中国食品安全抽查的合格率一直在90%以上”的说法。暴露在我们眼前的真相是,我国自来水的水质合格率只有50%左右,并且未有一个城市实现直饮水。另外,因为这说的是出厂水水质,而入户自来水还可能因管网锈蚀、二次供水等问题受到二次污染,还有,这只是城市自来水厂的水质,大量农村居民的饮用水甚至都没经过最基本的处理。所以,实际情况还可能更糟糕,甚至都不到50%的合格率。  对自来水水质的检测,有专家表示,之所以出现检测全部“合格、达标”的现象,很大原因是各家水厂的水质都是自检自测的,并无第三方的监督,另外,检测次数较少,也并不容易得到真实的检测数据和结果。然而,此次曝光之后,公众最大的疑惑已经不在于水质的检测流程及次数,而是在于,住建部2009年的水质检测结果,为何至今还未公布?关系民生的大事,却为何要此般遮遮掩掩?  关系民生大事的事从来都不是国家机密,而这项调查也是住建部兴师动众、耗费巨资巨进行的,如果连结果都不能向社会公布,而事后也并未采取任何的处理措施,那么,这样的调查又有什么意义呢?而进一步来说,不公示结果不告诉大家真相,大家喝到的就是安全的自来水么?在水资源重度污染的今天,自来水出现不合格的现象并不是不能理解,出现问题之后的态度才是最重要的。面对并不“合格、达标”的自来水,有关部分应该做的并不是回避,而是尽快出台措施去处理。  不管水资源污染有多严重,让百姓喝到真正合格达标的自来水,并不是一点办法都没有。具体而言,首先要从水处理的技术上入手,尽量多的建造活性炭池子,必要的地方上马臭氧技术;其次加快水管网的更快,以避免二次污染;第三也是最重要的一点,加强第三方检测和监督,让百姓也参与水质检测,才能提高有关单位的责任感和工作效率。  另外,供水成本和政府投入也都是一些糊涂账,有关部分工作的不透明也容易导致自来水这项民生大事出现纰漏,建立透明及时的工作机制也势在必行。唯有这样,才能保证自来水达到真正100%的合格率,才能保证百姓喝到合格达标的自来水。
  • 韩立:电子束曝光机是半导体制造的基础设备
    p style="text-align: justify text-indent: 2em "10月15日-16日,中国科学院半导体研究所、仪器信息网联合主办首届“半导体材料与器件研究与应用”网络会议(i Conference on Research and Application of Semiconductor Materials and Devices, iCSMD 2020),22位业内知名的国内外专家学者聚焦半导体材料与器件的产业热点方向,进行为期两日的学术交流。/pp style="text-align: justify text-indent: 2em "会议期间,来自中国科学院电工研究所的韩立研究员做了《电子束曝光及相关技术的研究》的报告。/pp style="text-align: center text-indent: 0em "script src="https://p.bokecc.com/player?vid=7657F36C41DF1A879C33DC5901307461&siteid=D9180EE599D5BD46&autoStart=false&width=600&height=350&playerid=621F7722C6B7BD4E&playertype=1" type="text/javascript"/script/pp style="text-align: justify text-indent: 2em "据介绍,电子束曝光(EBL)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。电子束曝光主要有可变矩形电子束曝光系统、电子束投影光刻技术、大规模平行电子束成像三种技术。/pp style="text-align: justify text-indent: 2em "韩立在报告中谈到,电子束曝光是电子光学、机械、电子技术、计算机及半导体工艺集成,包含了检测与定位、环境控制、超高真空、计算机控制、系统控制软件、多功能图形发生器、激光定位工件台和电子光学柱8个子系统,其中电子光柱体、图形发生器和激光工件台是关键部件。/pp style="text-align: justify text-indent: 2em "电子光柱体主要作用是通过控制束斑、束流、加速电压、最小线宽、写场尺寸和扫描频率,来实现束斑小,亮度高,速度快的曝光。但这些参数控制往往相互矛盾,对此韩立介绍了电工所和日本电子的解决方案。/pp style="text-align: justify text-indent: 2em "图形发生器主要用于解决复杂图形控制难题,以提高扫描速率、生产率和图形复杂度。如果直接对曝光点位进行曝光,数据量太大而难以处理,因此需要将复杂的原始图形切割成基本图形,这样就能用简单的参数来实现控制。为保证控制精度,图形发生器从单束发展到多束,同时用激光束来补偿位置的偏移。/pp style="text-align: justify text-indent: 2em "激光工件台以平面镜激光干涉仪作为整个系统的测量基准,主要有光栅扫描和矢量扫描两种工作方式。工件台主要性能指标包括了加工精度、拼接精度和套刻精度,主要通过结合激光干涉仪来实现。/pp style="text-align: justify text-indent: 2em "目前,我国电子束曝光机严重依赖进口,但国外已禁止对中国出售最新型号的设备。对此,韩立结合在电工所多年的电子束曝光技术研发经历和应用推广情况,深入探讨了如何在电子束曝光机研制中取得突破,提出了自己的一些真知灼见。/p
  • 安捷伦领衔原创大赛仪器曝光榜 普析海光并列国产头名
    伴随着新年钟声的敲响,由仪器信息网主办的第六届科学仪器网络原创作品大奖赛也(http://www.instrument.com.cn/activity/2013yc/)圆满落幕。本次大赛历经6个月(2013年7月1日-12月31日),共征集到有效文章1822篇,同比上届增长88.8%。创历届文章数量之最。通过对全部作品的整理统计,共有900余台科学仪器准确标明了仪器厂家。那么,究竟哪些厂商的仪器最受分析工作者的青睐呢?下面就将统计结果展示给大家。  首先,先从宏观上给大家一个认识。在统计的956台仪器中,国产仪器有240台,占总数的23.9%,进口仪器则有716台,占总数量的76.1%。国产、进口仪器分布进口厂商仪器曝光量  在进口厂商中安捷伦仪器的出镜率一马当先,主要仪器包括:ICP-OES、GC、GC-MS等。排名二、三位的分别为岛津(GC、GC-MS)和梅特勒-托利多(电子分析天平)。国产厂商仪器曝光量  国产厂商中海光(ICP-AES、AFS)与普析(AAS、UV)并列第一,排名第三位的为海能(凯氏定氮仪、石墨消解仪)。
  • 聚焦315:毒玩具曝光1年后仍在放毒
    毒玩具曝光1年后仍在放毒 工商部门接举报不作为  去年央视“315”晚会曝光了广东汕头的垃圾玩具事件,汕头市副市长公开向消费者道歉。据央视《第一时间》报道,临近“315”,央视财经频道记者近日再次来到中国最大的玩具生产基地——汕头市澄海区回访,发现这里毒玩具依然猖獗。  重点曝光过的场景再现  去年记者曝光的二料作坊难道还会存在吗?3月1日,记者来到汕头澄海区,在眼前的这条街道上,大大小小的废品回收站有很多家。记者随意走进一家废品回收站,店家说,他们主要是回收塑料废品,收集好了之后,就卖给澄海区的一些二料加工作坊。记者又来到另一家废品回收站,和其他废品回收站一样,这些垃圾里面还掺杂着大量的沙土、旧手套以及使用过的卫生纸等生活垃圾。  曾经被重点曝光的违规场景,再度出现在了记者的眼前。摄像机的镜头前,这家回收站的工人正在分拣旧塑料玩具。她告诉记者,他们的工作就是拆废塑料,然后销售给加工二料的作坊。  回收医疗垃圾制玩具  这个玩具原料市场的道路两旁,废品回收站一家接着一家,就在回收站的不远处,记者发现了多家二料加工的作坊。  在一家二料加工作坊里,只是简单地把垃圾粉碎,之后再用水冲洗一遍晾干,就销售给玩具工厂了。记者不光见到了脏乱的塑料垃圾,更可怕的是,一些塑料药瓶也被用来当做二料的原料。  眼见记者发现了医疗塑料垃圾,二料加工作坊工人立刻将其夺了回去,并不再和记者说话。二料作坊主还偷偷地告诉记者,虽然他家的二料难闻,但他家的二料比别家的要感觉更沉更重,能赚得更多,秘密就是他会往这些本就极不卫生的二料里添加东西。  这位二料作坊的老板说,澄海很多二料加工作坊不仅都在使用废品回收站的废品塑料,为了卖更多钱,他们还会在二料里加石头粉。而且,这些来源不同、添加有毒的物质之后,都只会经过简单处理加工成所谓的“二料”,之后就会直接送到玩具厂家做成儿童玩具。  垃圾二料玩具也进大超市  孩子的玩具,就是由这些塑料垃圾加工成的,这些由垃圾加工成的所谓二料,不但产品质量有问题,连最基础的卫生标准也不能达标。  在汕头市最大的玩具批发市场“澄海塑料城”里,记者看到,这里销售的玩具产品根本就没有国家质检部门颁发的3C安全认证标志,甚至很多产品连厂址、厂名都没有标注。  众多的商家集体违规销售三无产品,这的确超出了记者的想象,记者以买家的身份在澄海塑料城调查了十几家批发玩具的商铺,每一家都销售着大量的三无产品。这些商家透露,供应大超市的产品,一般会使用新料和质量好一点的二料。而那些三无产品玩具,通常会使用比较差的二料,因为不合格,所以大家都不敢印厂名和厂址。但一些经销商告诉记者,如果记者需要,他们随时可以印上这些标注。  监管虚设  工商所竟让举报人处理三无产品  玩具经销商告诉记者,每次工商所按照上级要求查处不合格产品的时候,都提前通知商铺关门几天,等查处时间过去后再开业。在另一家商铺,经销商告诉记者,工商所只查假冒大品牌的产品,对三无产品从来不查处。  走进澄海塑料城,记者抬眼就看到汕头市澄海区广益工商所的位置,工商部门是政府主管市场监管和行政执法的工作部门,但对于市场里玩具商家大肆销售不合格的三无玩具产品,这里的工商部门并没有进行查处。  在记者拿出既没有厂名厂址也没有3C认证和假的3C认证产品后,工商所的工作人员只是让记者与经销商自己去协商处理,记者反映市场里存在的问题,这个工商所的工作人员,连办公室都不愿离开,更谈不上前往市场现场取证查处。  行内爆料  标有3C认证的玩具也是假冒  据一位经销商说,很多标有3C认证的玩具产品也是假冒的,网上一查就知道。  记者按照经销商的说法买了一件标有3C认证的玩具,在3C认证的官网上,记者输入了产品证书编号、企业名称、产品型号等要求填写的事项,输入全部要求事项后查询显示没有找到记录。  对话商家  商家自印“3C”认证  记者:你这有没有3C认证啊?  澄海塑料城商家:印3C是吧?  记者:对。  澄海塑料城商家:可以啊,多少件啊?  记者:能印上去吗?  澄海塑料城商家:可以啊,这是我朋友的,怎么商量都可以啦,是不是啊?  商户称检查前会有通知  记者:你不怕工商所他们查啊?  澄海塑料城商家:哪里查啊?查了我们都不用开店了。  记者:都不查啊?  澄海塑料城商家:不是不查,有抓严的时候,他都叫我们把门关掉啊。  记者:就是查了叫你们把门关掉,过几天再开?  澄海塑料城商家:嗯。  工商所接举报却没动作  记者:3C认证你们管吗?  广益工商所工作人员:我们有检查但是不管,3C是公司规定要强制嘛。  记者:它没有生产厂家的也不管是吧?这个产品上没有生产厂家啊。  工商所人员:我们有管啊,你厂家有没有啊,你如果有证据就拿到所长那边,你告诉他哪一家,看怎么说。  记者(在副所长室):您好,打听个事,咱们塑料城不卖的好多三无产品嘛,工商所的话查不查?  工商所人员:三无产品?  记者:对啊,它就是无生产厂家,没有3C认证标志,也没有厂名。  工商所人员:你已经买了还是怎么样?  记者:买了,货都有。(最后,工商所的工作人员只是让记者与经销商私下协商处理。)  事件回放  汕头垃圾玩具事件  2012年央视“315”晚会上,曝光了广东汕头的垃圾玩具事件,玩具行业是广东汕头市澄海区的支柱产业,澄海当地与玩具相关的企业有3000多家,拥有一大批驰名商标、名牌产品和专利授权产品。记者发现,塑料瓶、密封胶瓶,甚至一些输液管这样的医疗垃圾,都成了当地回收站的抢手货。更可怕的是,这些没经过任何处理的医疗垃圾,最终变成了孩子们手中的玩具。  问题玩具经曝光后,汕头市澄海区委区政府连夜对全区塑料加工黑作坊、黑塑料回收站进行清查整治。汕头市主管玩具产业的副市长在接受专访时,也就问题玩具事件向消费者道歉,当地政府部门也对相关渎职人员作出停职处理。  面对记者的举报,工商所人员表示让双方自己协商解决。
  • 315负责曝光,我们负责解决,曝光商品解决方案专题即将上线
    p style="text-align: center text-indent: 0em "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width: 100% max-height: 100% width: 600px height: 187px " src="https://img1.17img.cn/17img/images/202003/uepic/873f7e24-2788-4be3-9825-157b444b930b.jpg" title="新闻用图.png" alt="新闻用图.png" width="600" height="187" border="0" vspace="0"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strongspan style="color: rgb(84, 141, 212) "点击进入/span/strong/abr//pp style="text-indent: 2em text-align: justify "strong央视的“3.15晚会”已连续举办29届,每年的“3.15晚会”都会曝光一些渉及国运民生的重大惊人黑幕!其更是被网友戏称“比春晚还精彩”,其关注度可见一斑。/strong/pp style="text-indent: 2em text-align: justify "每年的“3.15晚会”曝光的事件性质各有不同,可能有行业内幕,可能有商业套路;可能有网络骗局,可能有售后服务,strong但离不开的是每年关于产品质量和食品安全的曝光。/strong/pp style="text-indent: 2em text-align: justify "strong所谓“魔高一尺道高一丈”,既然有那么多的假货出现,我们自然就有相应的办法去检测并发现它。以2019年的3.15晚会为例,曝光的电子烟、翻新纸尿裤、“化妆”土鸡蛋、“毒辣条”、医疗垃圾玩具其实都是可以通过科学仪器进行分辨的,/strong下面就为大家列举一些解决方案,揭穿这些产品“面具”:/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "电子烟:/h1p style="text-indent: 2em text-align: justify "电子烟存在的问题主要是尼古丁致成瘾,青少年吸食电子烟,将来都会成为烟草的吸食者,且很多电子烟液中尼古丁含量与标示含量不符,实际含量非常高,误导并危害消费者;另一方面则是strong电子烟中的一些有害物质,烟液中通常含有香料、丙二醇和甘油,还含有大量甲醛,被测试的四种烟液每100次抽吸产生的电子烟雾中甲醛检出量在2.3毫克到14.9毫克之间,是我国居室内空气中甲醛最高容许浓度0.08mg/msup3/sup的数十倍甚至上百倍。/strong汽化的丙二醇和甘油对于呼吸道有强烈的刺激作用,目前越来越多的研究证实电子烟也会释放有害物质,一定程度上威胁到吸烟者和被动吸烟人群的健康。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911042.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟中尼古丁检测产品配置单(液相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893232.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液体中尼古丁、丙二醇和甘油检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-916924.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟液中丁酸检测产品配置单(吹扫捕集)/strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-868588.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "strong电子烟叶中2,3-丁二醇检测产品配置单(气相色谱仪) /strong/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%94%B5%E5%AD%90%E7%83%9F" target="_blank" style="text-decoration: underline color: rgb(84, 141, 212) "span style="color: rgb(84, 141, 212) "strongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "了解更多。。。/span/strongstrongspan style="font-style: italic font-weight: bold color: rgb(51, 153, 204) line-height: 18px font-size: 20px "/span/strong/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px "翻新纸尿裤:/h1p style="text-indent: 2em text-align: justify "黑心厂商回收废弃纸尿裤,制成所谓的“散浆”后,再生产成成人纸尿裤、妇女用卫生棉、婴幼儿纸尿裤后再售卖,且未经消毒处理。霉烂的散浆生产的产品严重危害了人体的健康。按照我国《GB15979-2002 一次性使用卫生用品卫生标准》规定,相关产品需要满足一下标准:/pp style="text-align: center"img style="max-width: 100% max-height: 100% width: 664px height: 346px " src="https://img1.17img.cn/17img/images/202003/uepic/edaafd61-40ee-4907-9b3e-e59d6a4d8bce.jpg" title="截屏2020-03-11下午3.58.40.png" alt="截屏2020-03-11下午3.58.40.png" width="664" height="346" border="0" vspace="0"//pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-903109.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落中菌落总数检测产品配置单(接种仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893613.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数、总大肠菌群、耐热大肠菌群、大肠埃希氏菌、贾地鞭毛虫和隐孢子虫检测产品配置单(基因扩增仪)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-324704.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "菌落总数检测产品配置单(菌落计数器)/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?act=solutionpaper&keywords=%E7%BA%B8%E5%B0%BF%E8%A3%A4" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居左" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: left margin: 0px 0px 10px " span style="text-indent: 2em "“化妆”土鸡蛋/span/h1p style="text-indent: 2em text-align: justify "通过在鸡的饲料中添加“斑蝥黄”这种添加剂,让蛋黄的颜色变深,伪装成土鸡蛋进行售卖,虽然不至于影响健康,但其实在以此办法获得高利润,欺诈消费者。/pp style="text-indent: 2em text-align: justify "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px "解决方案:/span/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-910248.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "土鸡蛋中斑蝥黄检测产品配置单(液相色谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-911873.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "鸡蛋中新鲜度,血斑,蛋白质含量检测产品配置单(近红外光谱仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-893527.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料,饲料原料中转基因成分检测产品配置单(基因扩增仪) /span/a/pp style="text-indent: 2em text-align: justify "a href="https://www.instrument.com.cn/application/Solution-244113.html" target="_blank" style="color: rgb(84, 141, 212) text-decoration: underline "span style="color: rgb(84, 141, 212) "饲料中砷检测产品配置单/span/a/pp style="text-indent: 2em text-align: justify "a href="https://search.instrument.com.cn/w/search?keywords=%E9%B8%A1%E8%9B%8B&act=solutionpaper&filter=0&filter2=0&fuzzy=0&sort=0&fromdate=&todate=" target="_blank" style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) text-decoration: underline "span style="font-style: italic font-weight: bold line-height: 18px font-size: 20px color: rgb(84, 141, 212) "了解更多。。。/span/a/pp style="text-indent: 2em text-align: justify "剩下的毒辣条”和医疗垃圾玩具都有对应的解决方案,此处不再一一列举。/pp style="text-indent: 2em text-align: justify "br//ph1 label="标题居中" style="font-size: 32px font-weight: bold border-bottom: 2px solid rgb(204, 204, 204) padding: 0px 4px 0px 0px text-align: center margin: 0px 0px 20px "span style="font-family: 楷体, 楷体_GB2312, SimKai font-size: 20px "2020年消费维权年主题为“凝聚你我力量”,strong今年的“3.15晚会”会揭秘哪些假冒伪劣商品呢?这些劣质商品要如何分辨呢?/strong/span/h1p style="text-indent: 2em text-align: justify "strong对于辨别这些劣质且危险的产品,科学仪器是必不可少的,为此,仪器信息网特别开设了span style="font-size: 16px font-style: italic font-weight: bold line-height: 18px color: rgb(255, 0, 0) "“破解3.15,曝光商品解决方案大盘点”/span,供质检单位和广大民众参考。/strong/pp style="text-indent: 2em text-align: justify "strong/strong/pp style="text-align: center"a href="https://www.instrument.com.cn/zt/3152020" target="_blank"img style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202003/uepic/47427dc2-7d60-4a31-b456-5aa90140c440.jpg" title="新闻用图.png" alt="新闻用图.png"//a/pp style="text-align: center text-indent: 0em "a href="https://www.instrument.com.cn/zt/3152020" target="_blank"strong点击进入/strong/abr//p
  • 国产进入新一轮研发潮:电子束曝光机市场与企业盘点
    电子束曝光机概述电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,主要用于制作光刻掩模版、硅片直写和纳米科学技术研究。目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被广泛应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线宽,束斑尺寸0.5~12.5 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。市场概况根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。国外主流企业及进展RaithRaith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术研究和材料科学各个领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部位于德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是可以应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能可以使所有必要的校准和曝光方案互相配合广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构进行分析的所有用户。NBL(Nanobeam)NanoBeam是一家英国公司,成立于2002年,主要生产高性能和高性价比的电子束光刻工具。据媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线宽小于8nm的工艺,相关产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。JEOL日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设备、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设备以及医疗器械。JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。目前,JEOL的电子束曝光机产品主要包括JBX-8100FS 圆形电子束光刻系统、JBX-3050MV 电子束光刻系统、JBX-3200MV电子束光刻系统、JBX-9500FS电子束光刻系统和JBX-6300FS电子束光刻系统。JBX-8100FS 圆形电子束光刻系统JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设备等多个领域的研发及生产。JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线宽在8nm以下(实际可达5nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。Hitachi日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已经发展成为拥有约150家公司的企业集团。为更好地解决邻近效应和高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最好选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。 HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为满足用户对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了提高精度,引入了(1)高精度电子光学,(2)低失真载物台,(3)高精度温度控制系统,以及(4)用于处理大体积图案数据的并行处理功能。ElionixELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场份额。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线宽下限为5nm的精细图形。ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。ADVANTESTAdvantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该公司成立于1954年,现已成为半导体行业的领先企业之一。爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其非常适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线的解决方案。F7000 支持各种材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以选择最适合其需求的配置,无论是独立配置还是在线配置,使 F7000 能够支持从研发到批量生产的各种应用。IMS NanofabricationIMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度非常慢,这是它们仅用于制造掩模版的一个重要原因。IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始进入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。如果没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。MBMW-101完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。CRESTEC株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在世界范围内EBL光刻机的销售实绩已经超过100台。其制造的电子束光刻机以其独特的专业技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。CRESTEC CABL 系列采用专业的恒温控制系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远远高于其它厂家的同类产品,在长达5小时的时间内,电子束电流和电子束定位非常稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得尤为重要,这对大范围内的图形制备非常关键。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内可以实现图形的高精度拼接和套刻。VistecVistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用于各种直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统主要用于应用研究、掩模和玻璃基板市场以及半导体行业。Vistec的电子束光刻系统在半导体制造中被广泛应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。NuflareNuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工人数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线宽为180 nm-150nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线宽65nm的“EBM-5000”,并进行产品化。2008年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检测。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。MultibeamMultibeam Corporation总部位于加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,可以提高晶圆加工速度。Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是同时进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。JC Nabity自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司一直致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地越来越多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作. 为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还可以实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简便的电子束曝光系统。事实上,NPGS可以应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还没有其他扫描电镜电子束曝光系统可以像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。作为ASML的竞争对手,Mapper在2018年12月份被证实正式宣布破产,公司拥有270名员工和众多电子束光刻机相关的IP。Mapper曾经以为自己找到了半导体制造行业的痛点,无奈研发能力跟不上,最终错过了好时机。Mapper为了降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具有很高的分辨率、较大的焦深与灵活性。Mapper设备通过使用电子束书写而不再需要掩模,让芯片成本有望实现明显下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。IBM20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前广泛应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提高。因此为了减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm2子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线条,束偏移±2.5mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主要问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。Zyvex LabZyvex Corporation 由 Jim Von Ehr 于 1997 年创立,旨在开发和商业化原子精密制造 (APM) 技术,以制造具有原子精密度的产品。2007 年 4 月,Zyvex Corporation 重组为三个独立的公司,以确保持续专注于产品:Zyvex Performance Materials LLC、Zyvex Instruments LLC 和 Zyvex Labs LLC。资产在三个公司之间分配,并为材料和仪器业务聘请了专门的管理人员。Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用于制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。KLA-TencorKLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。贝尔实验室上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展中国科学院电工研究所由中国科学院电工研究所承担的中科院知识创新工程重大项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技和半导体前沿研究,满足我国科研机构和国防建设需要。 在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科技研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。中国电子科技集团公司第四十八研究所中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了重要作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。深圳量子科学与工程研究院深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。据了解,围绕核心关键技术研发,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已获得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。泽攸科技近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿技术研发,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创新能力和产业竞争力。目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。整体来看,国际龙头企业在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。
  • 邪不压正:315曝光产品解决方案盘点专题上线
    央视“3.15晚会”已连续举办30届,每年的“3.15晚会”都会曝光一些渉及国运民生的重大惊人黑幕!其更是被网友戏称“比春晚还精彩”,其关注度可见一斑。今年的“3.15晚会”分别曝光了瘦肉精、瘦身钢筋、个人简历、手机陷阱等问题。虽然现在越来越多的“骗局”已经转向“信息”,但劣质且危险的产品依然存在,虽然他们隐蔽的越来越深,但我们相信“邪不压正”。就算它们隐蔽的再深,我们也可以通过科学的手段进行鉴别,让它们原形毕露。为此,仪器信息网特别开设了“3.15曝光商品解决方案大盘点”系列专题,2020年,我们汇总了海参农残检测、食品霉菌检测、毛巾安全检测的解决方案(相关链接:2020“破解3.15,曝光商品解决方案大盘点”)。今年,我们针对瘦肉精及饲料安全、钢筋测试汇总了各类解决方案,推出:“邪不压正:315曝光产品解决方案大盘点”,提供相关解决方案信息,供质检单位和广大民众参考。点击进入
  • 美的紫砂煲被CCTV曝光 竟是化学原料做
    售价上千元、用于养生保健的“天然紫砂煲”竟然是由普通泥土与化学物质混合而成,美的紫砂煲5月23日被中央电视台《每周质量报告》节目曝光。  天然紫砂形成于几亿年前,对人体健康极有好处,纯正的紫砂是指纯正紫砂天然矿料,非人工配制。然而,据该节目的调查,美的紫砂内胆泥料却是由几种成分配置而成,包括一些化学成分重金属、普通泥土等。  专家称,含化学成分的紫砂和真紫砂外表相近,但有的呈色组份中含有放射性物质,有的含有毒性的重金属盐类矿物,其对人体的危害远超人们想象。专家还告诉记者,真正的紫砂因为有透气的特点,密度不会很大,敲击起来不会有瓷器般清脆的声音,这是消费者鉴别的一个简单的方法。
  • 紫砂壶黑幕遭央视曝光 业内驳斥“化工壶”说法
    最近半个月,央视先是曝光了美的、九阳等品牌紫砂煲等紫砂类电器的行业内幕——原材料并非广告所宣称的“全部选用纯正紫砂烧制”,甚至还添加了“铁红粉”等化工原料 最近两天,又对宜兴紫砂壶的“行业内幕”进行了曝光:报道称,一些宣称“原矿紫砂”的紫砂壶很可能添加了对人体有害的化工原料。  宜兴紫砂壶在世界范围内享有美誉。“宜兴紫砂”也是“中国陶都”宜兴的一张“城市名片”。央视对紫砂产品的接连曝光,对宜兴紫砂行业乃至整个宜兴市而言,不啻于一场“地震”。为此,宜兴紧急召开了多场会议,意在铁腕整治紫砂行业的生产和经营秩序,清除行业内的“害群之马”。  央视曝光:“紫砂壶”重金属异常  继5月5日央视播出了《图鲜亮,大量紫砂壶被添化工原料》,5月30日及31日,央视节目又接连对宜兴紫砂壶的一些行业内幕进行了曝光。据报道,宜兴市早在2005年就禁止开采当地的紫砂矿产,因此现在市面上紫砂越来越少。随着紫砂价格飙升、紫砂壶销量不断增加,一些紫砂壶生产厂家在制造时开始掺杂使假,不仅大量使用浙江、安徽等外地的矿料或普通陶土,还通过添加“铁红粉”及二氧化钴粉等化工原料,加工生产出各种颜色艳丽、所谓的“原矿紫砂壶”。  央视记者将随机购买的15件紫砂壶和紫砂杯送到上海材料研究所检测中心进行检测。结果发现,除了两件是原矿紫砂茶具外,其余13件样品重金属(如钡、锰、钴、铬等)溶出量都出现了异常。中国保健专家委员会副主任委员西木指出,人工添加或合成这些重金属到日常器具中,会破坏人体的酶系统,危及人体健康,“如果长期使用的话,也有致癌的作用。”  业内专家:驳斥“化工壶”之说  在央视的曝光节目播出后,国内一些紫砂业内专家纷纷站出来,给予高调回击。宜兴市陶瓷行业协会会长史俊棠在接受当地媒体记者采访时,驳斥了“化工壶”的说法。他说:“紫砂泥料本身含有多种化学元素。没有‘化工壶’之说。如果有人这么说,那是危言耸听。”  针对有公众担心添加了化工原料的紫砂壶长期使用是否会影响人体健康,史俊棠会长表示:“为了满足不同层次顾客的需求,使紫砂壶等紫砂产品和作品颜色鲜艳,需要添加适量的氧化物,我个人认为是可以的,但不能超标。”  铁腕整治:实施溯源管理制度  5月30日,早报记者联系了宜兴市多位政府人士及陶瓷行业协会的负责人,他们均表示:正就紫砂壶的事“在开会”。  据了解,2010年5月23日,宜兴市政府专门召开会议,剑指当前紫砂行业发展中存在的产品质量安全、市场经营秩序问题,清除行业内存在的“害群之马”,把“宜兴紫砂”这个城市品牌代代相传下去。宜兴市长王中苏出席会议并作出部署。他指出:当前重点要解决两方面问题,一是要从生产环节入手,解决滥用添加剂的问题 二是要从经营环节入手,解决违法经营的问题。“要迅速行动、开展检查,集中力量查处问题,从快从重打击一批‘害群之马’,确保短期内收到明显成效。”  目前,宜兴市已成立了“紫砂行业经营秩序管理工作办公室”,由市政府分管领导牵头,工商、质监、公安、城管以及丁蜀镇等分管领导为组员,落实责任主体和责任人,意在形成“一级抓一级、层层抓落实”的局面。  据早报记者了解,宜兴市目前已要求所有紫砂产品经营户逐步建立以进货台账登记为主要形式的溯源管理制度。经营户要如实记录进货的品种、规则、数量、使用商标、供货商名称、进货日期及联系方式等。  另据了解,宜兴市工商等部门已于5月31日下午,对央视曝光的个别违规商户进行了现场检查及清理整顿。
  • 吴杭民:好一句“曝光毒豇豆于国于民都无益”
    对于武汉市农业局曝光“问题豇豆”的做法,三亚市农业部门表示了“特别的不理解”,他们称,发现相关的问题但按照通常做法只限于内部通告,这已经是业内的“潜规则”,武汉曝光这些问题豇豆在他们看来“于国于民都无益”(2月28日中央人民广播电台)。 武汉市农业局曝光“毒豇豆”,破坏了“内部通告”的业内“潜规则”?我拼命揉着眼睛,可看到的依旧是那些雷人的话语。更荒唐的是,三亚市农业局综合执法支队副支队长周庆冲也认为,按照常规,兄弟单位应该打个招呼,他们可以派技术人员下基层调查,严控源头,但兄弟单位这次的做法“太不够朋友”,不仅没有给三亚市留面子,也没有给农业部门留面子。 离奇愤怒!那些监督检测部门难道不应该为“民以食为天”筑起牢不可摧的“篱笆”吗?难道不该为民众吃得放心、吃得安全而克己奉公、兢兢业业吗?难道曝光、禁售问题食品、蔬菜,就是“太不够朋友”、“不给面子”?谁是你们的朋友,你们给谁面子? 突然,我似乎明白了武汉市农业局人士前些天坦言的“决定禁售‘冒了点风险’”的“弦外之音”。原来这风险来自同行的“潜规则”。可是,在我们看来,于国于民,于百姓利益,武汉市农业局的毅然决然之举,实在是应该大加褒扬大加弘扬的正义之举。民以食为天,食品安全屡屡失范,各类“毒××”愈演愈烈,除了我们经常抨击的各管一段、“九龙治水”等先天不足外,“够朋友”、留面子式的隐瞒包庇甚至大事化小、小事化无,是不是我们曾经不为所知的重要因素呢?果真如此,那么,我们真的要感谢武汉市农业局的“大义灭亲”了:是他们,让我们震惊地获知了监管领域漠视民众根本利益的“潜规则”。 原来,很多问题食品不是不能管,不是不能被发现、被围剿,而是监督机构“够朋友”的“潜规则”,使得“毒××”能安安稳稳地潜伏在我们的身边毒害百姓,即使流毒四方,有些主管人员还冠冕堂皇地狡辩,还理直气壮地叫嚣“于国于民都无益”! 还有多少监管检测“潜规则”我们被蒙在鼓里?我们期待,对于那些“够朋友”的职能部门的相关人员,有关部门就不该留面子、给面子,谁把民众利益当儿戏,谁就该被毫不留情地被问责、被严惩,被清除出监管队伍!
  • 央视315晚会曝光一次性筷子毒物满身
    央视315晚会今晚曝光一次性筷子的过程,经过多道化工原料的加工处理,一次性筷子上产生多种化学残留。国家对具体残留量有着严格的限制,但这一标准早已经被厂家抛在脑后。  筷子是中国人最常使用的餐具之一,自从出现了一次性筷子之后很多人觉得用起来非常方便,也非常卫生,所以在现在的餐饮服务当中一次性筷子使用相当普遍,在很多人眼中用起来方便又卫生的一次性筷子却并不卫生。  据央视曝光,一次性筷子在生产过程中经历了熏硫黄、石蜡、双氧水等多种化学药品的加工,生产过程触目惊心。  据报道,在筷子加工过程中,工人还要用脚把筷子“翻一下”,而脚与筷子紧密接触,在筷子厂随处可见,在有的厂筷子上看到脚印就不足为奇了。而一些已经发霉变质的筷子也没有扔掉,还要加工处理。工业双氧水具有很强的腐蚀性和漂白作用,经过双氧水煮过的黑筷子就会变白,为了使速度更快甚至有人使用其他的材料,工业无水焦磷酸钠。报道称,用工业双氧水把便黑的筷子煮白了再卖已经成为行业内公开的秘密。  据央视报道,经过加工处理一次性开子会产生多种化学残留,记者在怀化和宜丰的一次性筷子生产厂没有看到消毒环节。筷子就这样从厂家到批发商手里,有的被批发到加工厂做成一次性餐具。  报道最后评论称,一次性筷子非但没有成为我们健康的守护神,反而成为了健康的杀手。一连串工业用品的使用,现在让这双一次性筷子上沾边了有害身体的各种各样的物质,在看完了刚才记者调查之后,我们每个人都应该反思或者更新一下我们的消费习惯。让我们拥有更健康的消费主张。
  • 央视315晚会曝光碱性钙离子水
    央视315晚会对“永衡碱性钙离子水”等碱性水进行曝光。这些碱性水声称有奇妙功能,改变身体酸性体质。不过专家指出,如果靠碱性水使人体环境偏碱性,反而对人体有害。  据央视曝光称,这些碱性“神奇”水声称100度的钙离子水有降低血压,消除青春痘,等各种神奇功效。天然高速苏打水也打着碱性的概念,在宣传册上同样列举了各种神奇功效。各种瓶装碱性水的广告,都宣称发展一切疾病甚至衰老的根源是酸性体质,为了改变酸性体质的科学性,这些产品还有视频资料进行论证。  为了直观展示这些碱性水的神奇,在产品广告中不约而同出现了类似的实验。在永衡碱性钙离子水广告中,公司董事长用PH试纸分别测试了自来水和碱性水PH值,得出的结论“最快最有效能够迅速中和体能的酸性物质,把我们的体质改变到弱碱性体质。”  对此,北京大学第三医院肾病科主任,北京大学长江学者,教授、博士生导师汪涛表示,医学上没有酸性体质一说,一些慢性疾病,时间长了可能导致人体中堆积酸性废物,并不是酸性废物堆积导致疾病,这个因果关系不能颠倒。正常的人有肾脏或者肺功能很快清除掉。  中国食品科学技术协会副理事长认为如果靠碱性水使人体环境偏碱性,反而对人体有害。“副溶血性,沙门氏菌,这些治病微生物喜欢的环境,都是偏碱性的,如果喝水能让我们的肠胃变碱了,那就是帮助了有害微生物。抑制了有益微生物。”  专家提醒消费者,“凡是符合国家饮用水标准的水,都是好水,都是一样的。碱性水有益健康的说法只是一种营销手段。”
  • 央视曝光“毒胶囊” 竞争激烈致药企生产铬超标胶囊
    央视4月15日曝光曝光13个药用空心胶囊产品。国家食品药品监管局15日发出紧急通知,要求对央视报道的13个铬超标产品暂停销售和使用。业内人士称,生产问题胶囊或因竞争激烈所致。  据京华时报报道,本次牵扯入铬超标胶囊风波的不乏蜀中制药、修正药业等知名药企,阿莫西林、诺氟沙星等药剂在百姓生活中使用亦非常普遍。  “说到底是高竞争下的成本压力。”昨天,生物谷创始人张发宝说,本次卷入问题胶囊风波的13批次胶囊均为普药品种,在研发创新不足的背景下,市场竞争非常激烈。而在现行的基本药物定价机制下,普药出厂价的招标定价本身就非常低廉。加之企业在销售药品的过程中,流通环节权力过大(销售加价),导致企业药品出厂价甚至比招标定价更低。如此,在高竞争、低定价、重流通的大医药环境下,企业如果不在成本控制上下工夫,很可能就是微利或者亏损。“这是行业普遍的问题,而不仅仅是修正、蜀中等个别企业的问题。”张发宝指出。  蜀中制药素被称为“普药大王”,其生产的阿莫西林胶囊在抗菌消炎药市场上有不可撼动的市场地位,难道也受困于成本压力?“普药市场的市场竞争之激烈是你想象不到的,除非有着足够高的市场份额,能够影响市场规则,否则一样不赚钱。”张发宝指出。  谈及解决之道,包括张发宝在内的多位业内人士均认为,要解决药用明胶行业的现状,唯有从基药招标体制、企业营销体制上做出根本性的改变,才能从根源上解决医药生产企业以质量换成本的问题。
  • 深圳市政府拟专设机构曝光食企潜规则
    奶粉中含有三聚氰胺,多宝鱼含有孔雀石绿,啤酒中含有甲醛,辣椒中含有苏丹红……近几年来,食品安全频亮红灯,成为社会关注的公共话题。昨日,市人大常委会对2005年市四届人大一次会议确定的《关于加强我市食品安全监督管理的议案》进行评估。记者从现场了解到,经过三年的努力,办理方案确定的15项具体指标已经有14项于今年上半年提前完成,另外1项年底也有望完成。  虽然议案办理效果明显,但无论是人大代表还是副市长卓钦锐都承认,食品安全是一项长期工作。有人大代表建议,应该对河豚、腌制品展开专项检查 卓钦锐则透露,深圳将建立食品安全风险监测体系,不能只做事后检测,要提前介入,直击行业潜规则。  年底15项指标全部达标  《关于加强我市食品安全监督管理的议案》是2005年深圳市人大四届一次会议确定的一号议案,也是最后一个由政府办理的人大议案。从2006年起,“一号议案”就退出了历史舞台,因此,该议案备受瞩目。在三聚氰胺毒奶粉事件的影响下,这一议案更受到人大代表和市政府各部门的高度关注。记者了解到,从2005年6月27日正式交市政府办理起,市人大常委会已经组织了8次调研。  该议案移交给市政府之后,相关部门就制订了具体的办理方案,将总体目标细化为15项具体指标,包括食品抽查合格率、蔬菜农药残留、“瘦肉精”检出率、预包装食品品种覆盖率等。据悉,到今年上半年,已经有14项指标达标,只有“品牌肉品市场占有率”接近23%,未能达到30%以上的目标,而该指标今年年底也可以实现。  记者了解到,3年来,全市蔬菜农药残留抽检平均超标率2007年已降至3.9%,比2005年降低2.5个百分点 畜产品“瘦肉精”平均检出率2007年已降至0.68%,比2005年降低1.12个百分点,而大宗水产品违禁药物残留检出率去年已降至1.15%,生产加工的食品抽查合格率已达90.2%,高出2005年5.7个百分点。同时,符合安全标准的豆制品市场占有率已达到77%,比实施豆制品工程建设前提高48%,整个工程完成后将达到80%以上。  另外,全市已经在大中型工业区建成7179间符合要求的集体食堂,解决了328万人的就餐问题,全市90.3%的工人因此受益。农贸市场改造升级253家,比2005年增加208家,改造率达58.7%。  检测将从“事后”变“事前”  农产品基地建设、副食品加工基地建设、生猪屠宰经营体制改革、工业区食堂整顿与建设、食品流通现代化是2005年10月17日市政府常务会议定下的食品安全“五大工程”。昨日,市人大常委会常务副主任庄礼祥、人大常委会副主任邱玫、副市长卓钦锐一行视察了正在建设中的光明新区美益肉联厂。  深圳一共布局了4大生猪屠宰厂,光明新区美益肉联厂是第一家,即将在今年底投产运行,另有两大基地则会在明年内投产,余下一个基地近期将开工建设。这四大基地将引入世界最先进的生猪屠宰设备,实现流水化和全封闭生产,并实现冷链运输,彻底杜绝污染。卓钦锐表示,深圳未来将实现屠宰自营,屠宰基地宰杀的生猪都要来自养殖基地,从源头上保证猪肉的安全。  在此后的评估会上,邱玫对市政府办理议案给予了高度评价,称“该议案是人大议案办理的经典之作”。虽然如此,深圳食品安全工作仍然存在各种深层次问题,特别是“五大工程”中部分工程进度偏慢、部分食品产业化程度不高、食品流通秩序距离现代化标准还有差距。  卓钦锐表示,深圳将编制《食品安全三年规划(2009—2011)纲要》。规划提出,将做大做强食品产业,进一步提高食品的产业化水平,实现重要食品生产的规模化、工业化、标准化。同时,引入现代化市场要素,加强食品流通的物流现代化、供应网络化、配送冷链化、终端超市化和信息追溯化建设。他透露,大运会是提高食品安全的很好契机,深圳将学习北京奥运会的食品安全保障做法,全面提高食品安全水平。  值得关注的是,规划还将建立食品安全风险监测体系,改变过去只有食品安全出了问题被曝光后才进行检测的方式,实行提前介入,特别是着眼于发现食品行业的潜规则并进行针对性的检测。卓钦锐透露,深圳将为此成立专门的机构,目前这一建议已经提交市政府常务会准备讨论。  人大代表建议专项抽检河豚  另外,在议案办理评估现场,部分人大代表也对食品安全提出积极的建议。领衔该议案的人大代表吴立民透露,现在是河豚上市的高峰期,东部沿海地区几乎家家餐馆都卖河豚,吃河豚的市民许多都是举家前往,存在很大的食品安全隐患。他建议应该组织一次专项行动清理售卖河豚的情况。另外,他还建议对腌制品包括咸菜、鱼干及其他海产品展开检查。  记者了解到,深圳市政府已经拟定计划,在未来几年中,按照豆制品、奶制品、面食及米制品、熟食制品、腌制品、油类及调味品类、粮制品及饮料、餐饮类八个类别,每年重点整治1—2个类别。深圳还将定期公布食品安全信息,各食品行业的潜规则也将被曝光。
  • 涉及880台仪器设备,德州仪器扩能项目详情曝光
    近日,德州仪器半导体制造(成都)有限公司凸点加工及封装测试生产扩能项目(二期)竣工验收。该二期工程建设内容包括:在集成电路制造厂(FABB)新增凸点加工产能18.7975万片/年(全为常规凸点产品),在封装测试厂(AT)新增封装测试产能 10 亿只/年(均为常规QFN产品)。二期工程建设完成后,扩能项目新增凸点加工产能33.3975万片/年(全部为常规凸点33.3975万片/年),新增封装测试产能 21.48 亿只/年(其中常规QFN 15.48 亿只/年,WCSP 6 亿只/年)。仪器信息网通过公开文件查阅到该项目的相关仪器设备配置清单和工艺流程。FABB 集成电路制造厂主要生产设备清单.封装测试厂(AT)主要生产设备清单生产工艺:1、凸点加工晶圆凸点是在封装之前完成的制造工艺,属于先进的封装技术。该工艺通过在晶圆级器件上制造凸点状或球状结合物以实现接合,从而取代传统的打线接合技术。凸点加工制程即从晶圆加工完成基体电路后,利用涂胶、黄光、电镀及蚀刻制程等制作技术通过在芯片表面制作铜锡凸点,提供了芯片之间、芯片和基板之间的“点连接”,由于避免了传统 Wire Bonding 向四周辐射的金属“线连接”,减小了芯片面积,此外凸块阵列在芯片表面,引脚密度可以做得很高,便于满足芯片性能提升的需求,并具有较佳抗电迁移和导热能力以及高密度、低阻抗,低寄生电容、低电感,低能耗,低信噪比、低成本等优点。扩能项目凸点包括普通凸点和 HotRod 凸点两种,其主要区别在于凸点制作所采用的焊锡淀积技术不同,普通凸点采用植锡球工艺,工艺流程如下图所示,Hot Rod 凸点采用电镀锡银工艺,工艺流程如下图所示。扩能项目凸点包括 RDL(Redistribution Layer)、BOP-on-COA(Bump on Pad –Copper on Anything)、BOP(Bump on Pad)、BOAC (Bond Over Active Circuit)、BOAC PI (Bond Over Active Circuit with Polyimide)、Pb-free HotRod,上述各类凸点结构如下图所示,主要区别为层次结构和凸点类型不同。扩能项目各类凸点结构示意普通凸点加工主要工艺流程及产污环节注:普通凸点产品中的 BOAC 不含灰化、回流焊与助焊剂去除工艺Hot Rod 凸点加工主要工艺流程及产污环节凸点加工的主要工艺流程简述如下:(1)晶圆检测分类(wafer sorting):对来料晶圆进行检测,主要是检测晶圆有无宏观缺陷并分类。(2)晶圆清洗(incoming clean):由于半导体生产要求非常严格。扩能项目清洗工艺分为两种工艺,第一种仅使用高纯水,另一种使用 IPA 清洗,清洗后再用纯水进行清洗。IPA 会进入废溶剂作为危废收集,清洗废水进入中和废水系统进行处理。(3)烘干(Dehydration bake):将清洗后的晶圆烘干。该工序产生的烘干废气通过一般废气排气系统排放。 (4)光刻(Photo)扩能项目采用光刻机来实现电镀掩膜和PI(聚酰亚胺)层制作,包括涂胶、曝光,EBR和显影。涂胶是在晶圆表面通过晶圆的高速旋转均匀涂上光刻胶(扩能项目为光阻液和聚酰亚胺(PI))的过程;曝光是使用曝光设备,并透过光掩膜版对涂胶的晶圆进行光照,使部分光刻胶得到光照,另外部分光刻胶得不到光照,从而改变光刻胶性质;显影之前,需要使用EBR对边缘光阻进行去除。显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上形成了沟槽。通过曝光显影后再进行烘干,晶圆表面可形成绝缘掩膜层。扩能项目该制程使用了各类光阻液、聚酰亚胺、EBR、显影液及纯水,完成制程的废液统一收集,作为危废外运处置。显影液中由于含有四甲基氢氧化铵,将产生少量的碱性废气,由于其浓度很低,扩能项目将其通入酸性废气处理系统进行处理;显影液及显影液清洗水排入中和废水处理系统。光刻工艺示意图(5)溅射(SPUTTER)溅射属于物理气相沉积(PVD)的一种常见方法,即金属沉积,就是在晶圆上沉积金属。UBM(凸点底层金属)是连接焊接凸点与芯片最终金属层的界面。UBM 应在芯片焊盘与焊锡之间提供一个低的连接电阻。为了形成良好的 UBM,一般采用溅射的方法按顺序淀积上需要的金属层。扩能项目采用 Ti:W 合金-Cu的顺序进行溅射。溅射示意图(6)电镀(Plate)凸点电镀根据需求,可单纯镀铜,也可镀铜、镍、钯或镀铜、锡银,镀层厚度也有差异,可为铜膜或铜柱。扩能项目普通凸点电镀工艺包括镀铜膜、镀镍和镀钯。扩能项目 HotRod 凸点电镀工艺包括电镀底层铜(plate COA,Copper on Anything)、电镀铜柱(plate Cu POST)、电镀锡银。基本的电镀槽包括阳极、阴极、电源和电镀液。晶圆作为阴极,UBM的一部分作为电镀衬底。在电镀的过程中,铜、锡银溶解在电镀液中并分离成阳离子。加上电压后,带正电的 Cu2+、Sn2+、Ag+迁移到阴极(晶圆),并在其表面发生电化学反应而淀积出来。电镀工艺原理示意图如下:电镀工艺示意图扩能项目采用的铜、镍阳极为颗粒状,会全部消耗,不产生废阳极;扩能项目使用的镀钯、锡银阳极是镀铂钛篮,呈网状支架作为电镀阳极,不消耗也不更换,镀银采用烷基磺酸盐无氰镀银工艺。阳极金属如下图所示:电镀阳极实物图b.电镀操作过程进机台→将每片晶圆上到杯状夹具上→用超纯水预湿→镀铜→清洗→镀锡银(或镀镍→清洗→镀钯)→清洗→甩干→出机台。c.电镀清洗扩能项目电镀清洗采用单槽快速喷洗,清洗水直接排入废水处理系统,不重复利用,清洗废水排入 FABB 一楼电镀废水处理系统进行处理,保证处理设施出口一类重金属排放达标。清洗过程中产生有机废气排入有机废气处理系统统一处理。d.电镀槽液更换项目对电镀槽中电镀液离子浓度定期检测,适时添加化学药剂,保证电镀液可用。使用一段时间后,因电镀液中悬浮物浓度升高,需对电镀液进行更换。扩能项目依托 FABB 一层现有的2个2m³的电镀废液收集槽将电镀废液全部收集暂存,委托有资质的危废处理公司外运处置。电镀废液约半年排放一次,年排放量约为 3.5m³,因此收集槽的容积可满足废液收集需求。(7)去光阻(Resist stripping)电镀完成后,利用光阻去除剂去除电镀掩膜光阻,依次使用 NMP 与 IPA 进行湿式清洗,最后用纯水进行清洗,清洗后进行干燥。干燥通过自燃烘干或者 IPA吹干。(8)蚀刻(ETCH)将凸点间的 UBM 刻蚀掉。扩能项目采用湿法腐蚀。湿法腐蚀是通过化学反应的方法对基材腐蚀的过程,对不同的去除物质使用不同的材料。扩能项目采用过氧化氢作为 Ti-W 合金的腐蚀材料,普通凸点采用硫酸腐蚀铜,含锡银凸点采用磷酸腐蚀铜,产生的含磷的酸性废水排入 CUB5c 氢氟废水处理系统进行处理,不含磷的酸性废水排入中和系统进行处理。蚀刻完成后,使用气体吹扫晶圆表面进行去杂质。(9)灰化(Ash)剥离光掩膜的过程可以使用干燥的、环保的等离子工艺(‘灰化’),即用氧等离子体轰击光掩膜并与之反应生产二氧化碳、水等物质使其得以剥离。该过程产生一般热排气,排入一般排气。(10)凸点制作晶圆凸点工艺最主要的 3 种焊锡淀积技术是电镀、焊锡膏印刷以及采用预成型的焊锡球进行粘球。RDL、BOP、BOAC 等凸点采用粘球工艺(Ball place),粘球的一般操作过程为,首先在晶圆表面涂抹一层助焊剂,然后将预先成型的焊锡球沾在助焊剂上,接着进行检查,确保每个晶粒都沾有焊锡球。Hot Rod 等凸点焊锡淀积技术采用电镀锡银工艺。回流(reflow),该过程将焊料熔化回流,使凸点符合后续封装焊接要求。最后,再使用纯水对助焊剂进行清洗去除(Flux wash)。助焊剂清洗废水排入中和废水系统进行处理。(11)自动检测(AVI) 对凸点加工完的晶圆进行自动检测,确认是否有缺陷。至此,晶圆上的凸点制作完成。 (12)晶圆针测(Probe)在凸点完成后,晶圆上就形成了一个个的小格,即晶粒。针测(Probe)是对每个晶粒检测其导电性,只进行通电检测操作,没有任何化学过程。不合格晶粒信息将被电子系统记录,在接下来的封装和测试流程中将不被封装。扩能项目晶圆针测工序全部在 OS5 进行。(13)包装(Packing):利用塑料盒、塑料袋等对完成凸点的晶圆进行简单包装,然后进入AT厂房进行封装(后工序)。2、封装测试QFN 封装测试QFN 封装即倒装式四周扁平无引脚封装(QFN,Quad Flat No lead Package),扩能项目 QFN 封装包括传统 QFN 封装和 FCOL QFN 封装(Flip Chip on Lead frame QFN Package,框架上倒装芯片封装)。传统 QFN 封装和 FCOL QFN 封装的结构如图所示。传统 QFN 封装和 FCOL QFN 封装结构对比覆晶框架QFN在工艺流程上相较传统QFN主要区别在芯片与载板框架的连接方式,传统 QFN 通过金属导线键合,覆晶框架 QFN 通过芯片倒装凸点键合,相比传统工艺新增助焊剂丝网印刷、覆晶结合、助焊剂清洗、等离子清洗等工艺,以下对 QFN 封装的工艺及产污进行表述。贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(1)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(2)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。 (3)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(4)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(5)烘干:使用背面涂层烘烤设备将膜层烘干。(6)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(7)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)或激光将晶圆切割成符合规定尺寸的晶粒(die)。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。激光划片属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式,用超纯水进行硅屑冲洗。(8)UV 照射:使用 UV 照射机进行 UV 照射使粘结剂失去黏性达到去膜的目的。(9)点银浆:将银浆点到框架上以备粘合用;(10)粘片:将芯片置入框架点银浆处;(11)银浆固化:在氮气保护环境下烘干固化,将芯片牢固的粘结在框架上;(12)引线键合:使用金线或铜线将芯片电路 Pad 与框架引脚 Lead 通过焊接的方法连接起来,实现电路导通,焊接采用超声波焊接,无焊接烟尘产生,主要产污为废引线。(13)助焊剂丝网印刷:在密闭机台内用丝网将助焊剂印刷到引线金属框架上,无排气。丝网采用 IPA 清洗,清洗有有两种情况,一种是用设备自动清洗,IPA 会喷到丝网上,然后用棉布擦拭,擦拭布吸收 IPA 及丝网上的脏物后就当作危废处理,没有废液,设备是密闭的,不连接排气;另外一种是人工擦拭,会在化学品通风橱内操作,也是用棉布擦拭,没有废液产生,通风橱连的一般排气。(14)覆晶结合:将晶圆 IC 反扣在引线金属框架上,让锡银铜柱对准丝网印刷的助焊剂。(15)回流焊:将覆晶结合后的芯片放在氮气保护的回焊炉内按一定的温度曲线通过该炉,使用回流焊的方式实现晶圆 IC 与引线金属框架的焊接,该过程使用的助焊剂无挥发性物质,后续使用专用清洗剂进行清洗。(16)助焊剂清洗:使用助焊剂清洗剂洗掉回流焊残留的助焊剂并用水冲洗干净。设备自带清洗废气冷凝装置,冷凝液进入废水处理系统,不凝气接入现有一般排气系统。(17)等离子清洗:使用等离子清洗剂激发氧氩等离子体实现更高级别的彻底清洗,将残留的微量氧化层清洗干净,清洗废气接入现有一般排气。 (18)塑封固化:使用环氧树脂对 IC 进行外壳封装。(19)去毛刺:去除塑封外壳毛刺并进一步烘烤固化成型将塑封固化好的芯片置入有机盐溶液中去除塑封外壳毛刺及溢出料,产生去毛刺废水。(20)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(21)切带:切开胶带使单个晶粒分离。(22)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(23)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(24)终检:使用最终检测设备进行终检。(25)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。传统 QFN 工艺流程及产污环节FCOL QFN 工艺流程及产污环节2、WCSP 封装WCSP 封装(Wafer Chip Scale Packaging,晶圆级封装),即在晶圆片未进行切割划片前对芯片进行封装,之后再进行切片分割,完成后的封装大小和芯片尺寸相同。此外,WCSP 封装无需载板框架,可直接焊接在 PCB 印制线路板上使用。凸点和针测完成后,晶圆即进入封装测试厂 AT 厂房进行 WCSP 封装及测试,主要工艺流程如下:(1)贴片:在自动贴膜机上在晶圆的正面贴一层保护膜(胶带),研磨过程中保护晶圆的电路表面。该工序可能产生废胶带。(2)背面减薄:研磨机台上,通过高速旋转的研磨轮(转速约为 2500 转每秒)对晶圆背面进行机械研磨,将晶圆减薄到规定厚度。研磨过程中需要用超纯水冲洗研磨硅屑和冷却研磨轮。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(3)去膜:研磨完成后,去除晶圆正面的胶带。该工序可能产生废胶带。(4)晶圆清洗:利用超纯水对晶圆表面进行冲洗,去除晶圆表面的尘埃颗粒等杂质。清洗废水经回收系统回收利用后,浓水排入废水处理站进行絮凝沉淀+中和处理。(5)背面贴膜:使用背面贴膜设备在晶圆背面贴一层 BSC 膜,使晶圆背面被胶带保护、支撑。该工序可能产生废胶带。(6)烘干:使用背面涂层烘烤设备将膜层烘干。(7)贴膜:使用晶圆贴片机在晶圆的背面再贴一层膜。该工序可能产生废胶带。(8)激光打标:用激光将产品的 Lot No 刻录在产品表面(为了追踪产品的履历)。就是在产品的表面印上去不掉的、字迹清楚的字母和标识,包括制造商的信息、国家、器件代码,生产日期等,主要是为了产品识别并跟踪,该工序将产生打印粉尘和硅粉。(9)划片:在专门的划片机上,通过高速旋转的金刚石刀片(转速约在 50000转每秒)将晶圆切割成符合规定尺寸的晶粒。刀片的金刚石颗粒大小只有几个微米。切割过程中利用超纯水进行刀片冷却和硅屑冲洗。(10)激光切片:首先进行晶圆黏片,即在晶圆背面贴上水溶性保护膜然后进行切割。激光切割属非接触加工,无应力,因此切边平直整齐,无损坏;不会损伤晶圆结构,电性参数优于机械切割方式;激光可以切割任意形状,如六角形晶粒,突破了钻石刀只能以直线式加工的限制,使晶圆设计更为灵活方便。切割过程中使用超纯水进行硅屑冲洗。 (11)UV 照射:使用 UV 照射机进行 UV 照射去膜。(12)自动检测:使用 2/3D 自动检测设备进行检测。均为物理测试。检查产品的电气及速度特性,包括基本测试,如电气特性可靠性测试、直流电、交流电运行测试、目视检查,以及运行速度测试等。(13)IC 分类:使用晶粒分类设备对封装好的晶圆进行分类。(14)终检:使用最终检测设备进行终检。(15)包装:使用真空包装设备对封装好的芯片进行包装并入库。该工序可能产生废包材。WCSP 工艺流程及产污环节
  • 深圳首批“食品安全黑名单”昨日曝光
    首批“食品安全黑名单”昨日曝光 部分情节严重企业和个人被追究刑事责任  昨日,深圳市市场监督管理局公布首批“食品安全黑名单”,17家企业(个体户)、31名负责人或经营者列入 “食品安全黑名单”,并按照违法行为分别处以没收生产食品、工具,没收非法所得、罚款等行政处罚,部分情节严重企业和个人被追究刑事责任,判处有期徒刑并处罚金。  个体户也被列入黑名单  据了解,首批“食品安全黑名单”共有25条违法信息。记者在第一批食品安全黑名单中看到,部分生产、销售有毒有害食品个体户依法被判处有期徒刑并处罚金。如罗湖区湖贝旧村西坊的罗某及徐某,因在生产加工牛百叶、鱿鱼、牛肚等食品的过程中添加有毒有害物质过氧化氢和氢氧化钠,犯生产、销售有毒、有害食品罪,分别被判处有期徒刑两年,并处罚金3000元 有期徒刑一年零六个月,缓刑两年,并处罚金3000元。  据了解,7月24日市场监管局已制定发布了《深圳市食品安全信用信息管理办法》(以下简称“《办法》”),建立了“食品安全黑名单”制度。  根据《办法》第十四条规定,将“因食品生产经营违法行为被追究刑事责任的 伪造食品产地,伪造或者冒用他人厂名、厂址的,伪造或者冒用认证标志等质量标志的”等八种情形列入“食品安全黑名单”。  市食安局副局长陈建民介绍,“‘食品安全黑名单’将通过深圳信用网‘曝光台’栏目及新闻媒体等对外公布,并实施重点监督检查,对法律法规有明文规定的还将实施禁业限制,如因违法生产吊销许可证的,其直接负责的主管人员五年内不得进入食品行业 对以欺骗、贿赂等不正当手段取得食品生产、流通或者餐饮服务许可的,在撤销许可的同时,其申请人三年内不得再次申请该行政许可。对失信行为予以惩戒,提高食品安全违法成本。  陈建民表示,通过曝光“食品安全黑名单”,促使广大商家更加关注声誉,提前意识到危机,自觉守法经营、诚信经营,“同时,满足消费者的知情权。”据介绍,今后食品安全监管部门将定期公布“食品安全黑名单”。
  • 瘦肉精再被曝光,我们离安全的餐桌究竟还有多远?
    3.15 晚会 曝光回顾 央视315晚会曝光青县瘦肉精羊肉问题 央视曝光后,沧州市委、市政府高度重视,迅速召开处置工作调度会,成立处置工作领导小组,立即责成农业农村、市场监管、gong安等部门连夜赶赴现场进行调查核实。目前,涉事企业负责人已被控制,对问题羊肉进行封存,正在追溯瘦肉精来源。 何为瘦肉精? 瘦肉精是一类药物的统称,任何能够抑制动物脂肪生成,促进瘦肉生长的物质都可以称为“瘦肉精”。能够实现此类功能的物质主要是一类叫做β-受体激动剂(也称β-xing奋剂)的药物,其中较常见的有盐酸克仑特罗、沙丁胺醇、莱克多巴胺 、硫酸沙丁胺醇、盐酸多巴胺、西马特罗和硫酸特布他林等。家畜摄入“瘦肉精”后能加速生长、提高瘦率、降低脂肪沉积、提高饲料报酬等,使用“瘦肉精”后会在动物组织内形成残留,消费者使用后直接危害身体健康。 我国在2002年就已经严禁瘦肉精作为兽药和饲料添加剂,但在畜牧业生产中“瘦肉精”的使用仍屡禁不止。 全面排查,严厉打击,月旭科技,应用支招。 月旭科技参照《农业部1063号公告-7-2008 饲料中8种β-受体激动剂的检测 气相色谱-质谱法》,对饲料中4种β-受体激动剂进行检测。 净化步骤 SPE柱:月旭Welchrom P-SCX小柱,规格60mg/3mL。活化:3mL甲醇,3mL水,弃去;上样:准确吸取2mL试样溶液加至小柱,弃去;淋洗:2mL乙酸溶液,3mL甲醇,弃去;洗脱:3mL洗脱液洗脱至10mL带盖玻璃试管衍生瓶中,压干;将洗脱液于40℃下氮吹至干。 衍生:在衍生瓶中加入甲苯100μL,衍生试剂100μL,充分涡旋混匀后,置70℃烘箱中,反应1h。冷却至室温后上机测定。 色谱条件 色谱柱:WM-5MS,30m×0.25mm×0.25μm。进样口温度:250℃;升温程序:100℃,保持1min,以10℃/min升温至280℃,保持4min;载气:高纯氮气(纯度99.999%);进样方式:不分流进样;恒流模式:1.0 mL/min;进样量:1μL。 质谱条件 电离方式:电子鸿轰击电离源(ESI);电离能量:70eV;传输线温度:280℃;离子源温度:230℃;四极杆温度:150℃;监测方式:马布特罗:277.1/204.0/296.0/311.1;克伦特罗:262.0/212.0/243.0/277.0;沙丁胺醇:369.2/73.0/116.0/203.0;班布特罗:354.2/282.1/309.1/439.3;溶剂延迟:9min。 色谱图或加标回收率结果
  • 浙江曝光4家严重质量安全隐患月饼产品和企业
    中秋将至,眼下正是月饼销售旺季,近期浙江省质监部门进行了月饼质量专项抽查,月饼的实物质量合格率为97%,总体质量较好,4批次存在严重质量安全隐患的月饼产品和企业被曝光。  本次监督抽查共抽取355家企业363批次月饼,按照相关标准要求,对感官、馅料含量、酸价、砷、铅、铝、黄曲霉毒素B1、菌落总数、大肠菌群、霉菌计数、致病菌、防腐剂、甜味剂、色素、包装规范、标签等31项指标进行了检测。检测结果显示:合格343批次,批次合格率为94.5%,较2012年提高了3.5个百分点,剔除9批次为标签、包装空隙率不合格,月饼的实物质量合格率为97.0%。  此次抽检未发现月饼有违法添加非食用物质现象,主要存在问题是,标志标签不规范、馅料含量不足及个别产品微生物与重金属超标等。  质监部门曝光了4批次存在严重质量安全隐患的产品及其企业,这些月饼抽查不合格较严重企业和产品分别是:宁波北仑唯客食品有限公司生产的缤纷炫礼广式月饼(生产日期2013.9.2)名称不规范,配料表不规范,未标示含山梨酸钾 苍南县农老伯食品有限公司生产的玉苍麻饼(潮式水晶类,生产日期2013.8.26)大肠菌群超标 苍南县荣华食品有限公司生产的月饼(生产日期2013.8.27)铝超标,并检出胭脂红 嘉兴市佳时春食品有限公司生产的苏式椒盐月饼(生产日期2013.8.9)菌落总数超标。  对不合格产品,质监部门已依法责令企业停止生产销售,限期整改。
  • 全聚德华联等14家企业销售违规肉制品被曝光
    7月18日,北京市动物卫生监督所曝光上半年14家违法企业名单,其中,全聚德、亿客隆、华联超市、东兴楼等知名企业上榜。  根据市动物卫生监督所提供的信息表,违法企业的案由大多数为肉产品“未按规定查验和存留检疫证明”,而这些企业大多数都是餐饮企业和超市。其他案由包括在“饲料中添加原料药案”、“兽药原材料过期”、“未按规定查验和存留检疫证明”、“运输动物产品进京未经检疫通道”、“未按照批准的职业项目范围开展诊疗活动”、“使用伪造检疫标志”等。  根据相关规定,市动物卫生监督所对违法企业采取罚款或警告的处罚,最高的被罚款1万元。其中,北京精准动物营养研究中心因为在该单位产品“0.5%猪通用复合预混料(P105)”中添加了原料药“洛克沙胂”,被罚款1万元。北京智水生物技术有限公司原料库中有十二种物料已经过期,但未按规定及时处理,被警告。  ■ 追访  前段时间店里面一直在抓这件事情,现在已经解决,并保证以后绝对不会再出现。  ———北京东兴楼餐饮管理服务有限责任公司六里桥餐饮工作人员  问题已经解决,以后绝对不会犯第二次。  ———北京楚老锅餐饮管理有限公司分主管  ■ 链接  14家被曝光企业  ●北京精准动物营养研究中心  ●北京智水生物技术有限公司  ●老诚一(北京)酒店管理有限公司丰台火锅店  ●华润超级市场有限公司北京光彩华润万家生活超市  ●北京楚老锅餐饮管理有限公司  ●北京亿客隆连锁超市有限责任公司  ●北京冠京隆综合批发市场有限公司  ●北京华联综合超市股份有限公司马家堡分公司  ●北京市红星蔬菜食品冷冻有限责任公司  ●北京卜蜂莲花连锁超市有限公司六里桥店  ●北京东兴楼餐饮管理服务有限责任公司六里桥餐饮分店  ●北京方庄全聚德餐饮管理有限公司  ●北京西南四环湘鄂情餐饮有限公司  ●北京健爱缘动物门诊部
  • 315晚会曝光市场乱象!质量安全谁来保障?
    随着经济发展、生活水平及物质需求的提高,在巨大经济利益的驱使下,各种各样的掺假、造假、以次充好的手段层出不穷。让消费者真假难辨,如不借用专业的设备、专业的检测技术,就连专业人士也是很难辨别。恰逢315消费者权益日,简智仪器聚焦翡翠玉石、食品等市场热点领域,推出针对性产品及解决方案,切实保护广大消费者权益,为执法人员办案提供技术支持。一、主播、货主演双簧,翡翠直播间借高价原石发廉价成品 315”晚会披露,销售翡翠的商家竟然是在表演,翡翠是假的、买家现场砍价是假的,号称“在缅甸曼德勒矿区现场”也是假的,都是主播和货主在表演双簧。被曝光的腾冲市罗旺珠宝行的直播间所售卖的原石是从市场上借来的。粉丝拍到后,他们不会将原石直接寄出,而是诱导粉丝做成成品,然后在市场上找个材质看上去大体相当的廉价成品,寄给粉丝,他们称之为配货。配货后,明确告诉粉丝,石头已经切过,无法退换。此外,罗旺珠宝行的直播间均做成一次性链接,下场直播就显示无效,粉丝很难找到直播的视频证据。 经过315曝光之后,关于稽查以次充好的翡翠、珠宝玉石等物品鉴定已经成为腾冲市场监督管理局的首要工作任务。16日上午,相关工作人员表示该局在昨晚“315”晚会播出后,已派工作人员连夜前往现场展开核查工作,目前仍在调查处理中。简智仪器作为领先的光谱仪器供应商,始终着眼于解决终端用户的检测挑战。我们的珠宝检测仪Gem Chkr,采用最新的无损光检测技术、智能光谱分析和处理技术,通过单个探头对珠宝玉石、考古文物进行快速现场分析,体积小巧可现场测试,并且数十秒就可以得知检测结果的超快速直接分析型拉曼光谱仪器。这款仪器特别适合现场对珠宝玉石等物品进行快速检测,无需前处理,结果立刻可知,极大帮助市场监督稽查队伍对疑似假珠宝点进行突击检查。Gem Chkr显微组合特点一键测定,适合大批量快速筛查,适合非专业人员现场抽检内部可集成拉曼-紫外吸收联用技术,大大提高识别准确率和应用范围能无损的快速鉴定珠宝玉石及其内部包体或填充物可搭载显微镜,对微区样品进行分析 A货翡翠与B货翡翠拉曼谱图对比 天然翡翠的拉曼谱图 注胶翡翠的喇曼谱图二、生产服务乱象,人身安全面临威胁 食品安全方面,南昌双汇工厂内部存在包括猪排落地直接装袋入库、用浑水洗毛巾擦猪肉、消毒随意、风淋系统形同虚设等众多的食品卫生问题。此外,还有部分老坛酸菜包竟是土坑腌制,土坑里工人们有的穿着拖鞋,有的光着脚,踩在酸菜上,有的甚至一边抽烟一边干活,抽完的烟头直接扔到酸菜上。 食品在加工过程中,为了保持食品的有效期和色泽度,往往会加入一定量的食品添加剂。亚硝酸盐是火腿肠类肉制品中常用的护色剂和防腐剂,适量的亚硝酸盐可以使相关食品保持良好的色泽度,延长保存期。此外,腌制品在腌制过程中也容易产生大量的亚硝酸盐。然而,亚硝酸盐在一定的条件下能够在人体内转化为亚硝胺类物质,这类物质具有很强的致癌作用。此外,过多地摄入亚硝酸盐,还会降低血液的携氧功能,导致组织缺氧甚至引发中毒事件。如何保证食品安全问题简智SSR-3000系列便携式拉曼检测仪,可基于拉曼光谱技术对物质进行快速定性检测,适合于现场快速检测分析。除了具有优异的光谱性能外,此产品针对户外现场检测场景研发了防尘、防水、防震、防摔的仪器外壳,同时嵌入式系统实现了一体化操作,可即开即用,随装随走。特点:结果准确:拉曼与SERS技术联用,抗干扰能力强,内置大量专用谱库,不易误判简单智能:操作简单,无需专业背景,检测结果直观显示检测快速:上机数秒即可得到检测结果,可帮助用户现场进行初步判断携带方便:一体化设计,重量轻,防撞防水,便于携带智能化:用户界面友好,支持查询记录、谱图查看分析、导出数据、打印结果等功能实时更新:项目拓展快,可及时应对市场变化,可根据用户需求扩展项目并在线更新应用领域:食品违禁添加物、色素添加、农兽药残留检测爆炸物、危险化工品、易燃易爆品检测珠宝玉石鉴定、文物鉴别生物医药检测、材料表征、地址勘探等其他各类订制应用“315”不是某一天的严阵以待,简智仪器秉着“科技守护美好生活”的企业理念,将持续关注消费领域的违法侵权现象,倡导用诚信之力,筑牢消费之基,共同营造美好生活。
  • 央视曝光:一只鸡吃十八种抗生素
    肯德基、麦当劳“速生鸡”再曝使用违禁药物,央视报道称,一些养殖户为了使得鸡不得病长得快,在饲料里添加多种抗生素和激素类药品。  昨天,山东省畜牧局向《每日经济新闻(微博)》记者表示,目前该局已派出四个调查组前往当地调查,而上海药监局也将对百胜集团进行检测。  对此,昨日(12月18日)肯德基作出回应称,国内个别肉鸡企业的把关可能有所缺失,公司已要求供应商积极配合当地政府的检验检疫。对于央视曝光的企业六和集团,肯德基称今年8月已停止采购其鸡肉原料。另一家快餐连锁——麦当劳,则在一则官方的简短声明中称“请大家放心食用”。  速生鸡使用违禁药品  《每日经济新闻》此前对秦皇岛、山东昌邑等地肯德基的原料鸡——45天“速成白羽鸡”调查发现,从雏鸡进入鸡场,到肉鸡出栏屠宰,多个环节暴露出安全隐患。例如:抗生素滥用、动物检验检疫程序“走过场”等。本报记者调查发现,在河北、山东等地,甚至花钱就能买到动物检疫合格证明。  一时间,“速生鸡”检验检疫“走过场”带来的安全隐患,抗生素滥用引起的药物残留问题,成为舆论关注的焦点。昨天,央视的调查也显示,“白羽鸡在40天能长5斤”背后有着不可告人的秘密。  央视对山东青岛、潍坊、临沂、枣庄等地的“速生鸡”养殖场调查发现,为避免鸡生病或死亡,白羽鸡从第1天入栏到第40天出栏,至少要吃18种抗生素药物,“鸡把抗生素当饭吃,停药期成摆设”。而养殖户把鸡交给屠宰场之后,屠宰企业的检测人员只是编造检验纪录。  央视调查还发现,一些养殖场还偷偷给鸡喂食禁用药物,这些药物包括人用的利巴韦林、盐酸金刚烷胺。根据我国《兽药管理条例》的规定,禁止将人用药品用于动物。另外,一些养殖户为了使得肉鸡能够快速生长,地塞米松等激素类药品也成为催生肉鸡生长的秘密“武器”,这些激素类物质能刺激鸡多采食,报道称在喂激素后,鸡在3天~5天就增重1斤。  据了解,地塞米松是肾上腺皮质激素类药,长期大量使用可引起动物体重增加、引发肥胖等症状。我国《兽药管理条例》明确规定,禁止在饲料和动物饮用水中添加激素类药品,而当地给鸡偷喂激素的养鸡场并非少数。  央视曝光的两家公司分别为山东的六和公司和盈泰公司,这两家公司是肯德基、麦当劳的原料供应商之一。  肯德基发声明“撇清”关系  昨天,六和公司有关负责人在接受《每日经济新闻》采访时说,公司已经知道此事,目前高层领导重视,相关的调查结果会及时对外公布。另一家公司盈泰公司的网站无法登录,记者也未能从114查号台查到登记号码。  肯德基在声明中指出,“根据汰弱留强原则,今年8月起肯德基已停止从六和集团采购鸡肉原料。”  肯德基声明称,供应商每批肉鸡在宰杀前,宰杀后都需要取得《动物检疫合格证明》,基德基要求所有供应商对专供肯德基的鸡肉产品进行药残检测,肯德基物流中心收货时必须收验《动物检验合格证明》和药残检验报告。  不过,据报道,送鸡肉的货车在抵达中国百胜餐饮集团上海物流中心之后,有关人员只是根据屠宰场提供的证明,并没有进行再次检验而是直接卸货并输送到了快餐企业。此前,屠宰场的检验证明也是编造。  上海药监局抽检百胜产品  昨天,上海市食品药品监督管理局官方微博称,上海食药监在知悉央视曝光“速生鸡”流入百胜餐饮集团上海物流中心的信息后,已第一时间组织监督员对其产品进行抽检,全面检查其来源和自检情况,相关检测正在进行。上海药监局表示,如发现食品安全问题,将依法严处。据上海市药监所报告,该市今年抽检450件禽肉样品,19件抗生素超标,均已查处,未检出激素。  肯德基称,“肯德基高度重视媒体报道内容,一定积极配合相关政府部门的检查,如有发现供应商的任何违规行为,一定严肃处理。”  麦当劳则在官方微博发表了一则简单声明,称“麦当劳一向视食品安全为重中之重,只从经过严格选拔的供应商处采购食品原材料,并通过严格供应商管理系统和标准确保始终为顾客提供安全高品质的食品。麦当劳所使用的每批次鸡肉原料都经第三方独立实验室检测且确认合格,我们的鸡肉产品遵守严格的食品品质标准且符合政府相关标准。请大家放心食用。”  昨天,山东省畜牧局有关负责人在接受《每日经济新闻》采访时说,他们已经知道此事,并于第一时间派出四个督导组下去调查。该负责人称,“我们核实情况后会尽快公布结果,在各地蓄牧局网站公布调查情况。”  就在之前,该局网站首页还刊登文章《“速成鸡”是误传 育种、营养是关键》称,12月10日下午,省畜牧兽医局召开座谈会,多位专家对抗生素、激素等问题一一作出回应。山东省农科院家禽研究所研究员魏祥法的说法是,只要是规范化、经农业部门认可的养鸡场,都是规范用药,许多养殖场已经开始用中成药,不再用抗生素。  对于激素问题,山东省畜牧协会生猪产销分会秘书长曲万文则称,激素鸡、激素猪只是一个传说,激素价格高昂,一支激素几千块钱,谁用得起啊,以讹传讹造成鸡、猪被“妖魔化”。  当《每日经济新闻》记者提到如何看央视所报道的抗生素泛滥以及使用激素等问题,上述畜牧局负责人称,“如果规范养殖,养殖场不会出现违禁类的药品,对于央视所反应的情况需要进一步核实。”  据《每日经济新闻》记者此前调查,不少速生鸡供应商主要采用与农户签约的模式,尽管有各种监管手段,但在实际过程中,由于产业链过长,监管部门检疫走过场等原因,鸡肉的风险并没有很好地控制。
  • 显微镜技术新跨越:无标记、两次曝光、改造荧光成像
    2021年伊始,显微镜技术也迎来新的跨越。光物理学家开发出一种新方法,利用现有显微镜技术,无需添加染色剂或荧光染料,就能更详细地观察活细胞内部。这是一种荧光寿命显微镜技术,能够使用频率梳而不是机械部件来观察动态生物现象。其中一项研究的领导者、日本东京大学光子科学与技术研究所副教授Takuro Ideguchi表示,“我认为无标签技术将是一个重要的研究方向。特别是以无标签的方式对细胞内外病毒和外来体等小颗粒进行测量的技术将是未来成像设备的一个趋势。”更大范围 更小相位变化由于单个细胞几乎是半透明的,因此显微镜照相机必须能探测到穿过部分细胞的光线的极其细微的差异。这些差异被称为光的相位。相机图像传感器则受到它们能检测到的光相位差的限制,即动态范围。“为了使用同一图像传感器看到更详细的信息,我们必须扩大动态范围,这样就可以探测到更小的光相位变化。”Ideguchi说,“更大的动态范围允许我们测量小型和大型的相位图像。例如,如果测量一个细胞,细胞的主干会产生大的相位变化,而细胞内的小颗粒/分子会产生小的相位变化。为了使两者可视化,我们必须扩大测量的动态范围。”该研究小组开发了一种技术,通过两次曝光分别测量光相位的大小变化,然后将它们无缝连接起来,制造出详细的最终图像。他们将这种方法命名为自适应动态范围偏移定量相位成像(ADRIFT-QPI)。相关论文近日发表于《光:科学与应用》。一直以来,定量相位成像是观察单个细胞的有力工具,它允许研究人员进行详细的测量,比如根据光波的位移跟踪细胞的生长速度。然而,由于图像传感器的饱和容量较低,该方法无法跟踪细胞内及周围的纳米颗粒。而新方法克服了定量相位成像的动态范围限制。在ADRIFT-QPI中,相机需要两次曝光,并产生一个最终图像,其灵敏度是传统定量相显微镜的7倍。两次曝光 告别光毒第一次曝光是用常规的定量相位成像产生的——平的光脉冲指向样品,并在它通过样品后测量光的相移。计算机图像分析程序基于第一次曝光的图像,快速设计一个反射样品图像。然后,研究人员用一个叫做波前整形装置的独立组件,用更高强度的光产生一种“光雕塑”,以获得更强的照明,并向样品发出脉冲,进行第二次曝光。如果第一次曝光产生的图像是样品的完美代表,第二次曝光的雕刻光波将以不同的相位进入并穿过样品,最终只能看到一个黑暗的图像。“有趣的是,我们在某种程度上抹去了样本的图像。实际上,我们几乎什么都不想看到。我们去掉了大的结构,这样就能看到小的细节。”Ideguchi解释道,由于第一次测量中存在较大的相位对象,受动态范围的限制,无法对较小的相位对象进行可视化,研究人员称之为“洗掉”。他们需要第二次测量观察动态范围移位的小相位物体的细节。此外,该方法不需要特殊的激光、显微镜或图像传感器,研究人员可以使用活细胞,而且不需要任何染色或荧光,出现光毒性的可能性很小。光毒性是指用光杀死细胞,这也是其他成像技术如荧光成像面临的一个问题。另一篇论文的通讯作者、日本德岛大学Post-LED光子学研究所教授Takeshi Yasui指出,在传统的激光扫描共焦显微镜中,强激发光聚焦在一个焦点上,并对焦点进行二维机械扫描,使光毒性的影响较强。 Yasui等人的荧光成像新方法中,激发光被聚焦为一个二维焦点,因此每个焦点的光强度变得非常弱。“光毒性高度依赖于入射光的强度,我们的方法也可以显著降低。”改造荧光成像荧光显微镜广泛用于生物化学和生命科学,因为它允许科学家直接观察细胞及其内部和周围的某些化合物。荧光分子能吸收特定波长范围内的光,然后在较长的波长范围内重新发射。然而,传统荧光显微技术的主要局限性是其结果难以定量评价,而且荧光强度受实验条件和荧光物质浓度的显著影响。现在,一项新研究将彻底改变荧光显微镜领域。当荧光物质被一束短脉冲光照射时,产生的荧光不会立即消失,而是随着时间的推移“衰减”。但荧光衰减非常快,普通相机无法捕捉到它。虽然可以使用单点光电探测器,但必须在整个样本区域进行扫描,才能从每个测量点重建出完整的二维图像。这个过程涉及到机械部件的运动,这极大限制了图像捕捉的速度。在最近发表于《科学进展》的一项研究中,科学家开发了一种不需要机械扫描就能获得荧光寿命图像的新方法。领导这项研究的日本德岛大学Post-LED光子学研究所教授Takeshi Yasui说,“我们能在2D空间上同时映射44400个‘光秒表’来测量荧光寿命——所有这些都在一次拍摄中,不需要扫描。”“到目前为止,光频率梳被广泛地用作测量光频率的标尺,但我们一直在考虑其他的用途。”Yasui讲到,“我们意识到,如果将光学频率梳视为具有超离散多光谱结构的光,通过维数转换将被测物理量叠加在光谱上,可以从双梳光谱获得的模式分辨光谱中共同获得被测物理量。”研究人员使用光学频率梳作为样品的激发光。一个光学频率梳本质上是一个光信号,它们之间的间隔是恒定的。研究人员将一对激发频率梳信号分解为具有不同强度调制频率的单个光拍信号(双梳光拍),每个光拍携带单个调制频率,辐照到目标样品上。而且,每束光束都在一个不同的空间位置击中样本,在样本二维表面的每个点和双梳光拍的每个调制频率之间形成一一对应的关系。研究人员用数学方法将测量信号转换为频域信号,根据调制频率处的激发信号与测量信号之间存在的相位延迟,计算出每个像素处的荧光寿命。Yasui表示,这将有助于动态观察活细胞,还可以用于多个样本的同时成像和抗原检测——这种方法已经被用于新冠肺炎的诊断。该技术还有助于开发出新的顽固性疾病疗法,提高预期寿命。同样,Ideguchi也提到,ADRIFT-QPI能够在整个活细胞的背景下看到微小颗粒,而不需要任何标签或染色。“该技术可以检测到来自纳米级粒子的细小信号,比如病毒或在细胞内外移动的粒子,这样就可以同时观察它们的行为和细胞的状态。”相关论文信息:https://doi.org/10.1038/s41377-020-00435-zhttps://doi.org/10.1126/sciadv.abd2102
  • “微莲花,微祝福” | 无掩膜激光直写光刻仪3D灰度曝光应用
    近年来,实现微纳尺度下的3D灰度结构在包括微机电(MEMS)、微纳光学及微流控研究领域内备受关注,良好的线性侧壁灰度结构可以很大程度上提高维纳器件的静电力学特性,信号通讯性能及微流通道的混合效率等。相比一些获取灰度结构的传统手段,如超快激光刻蚀工艺、电化学腐蚀或反应离子刻蚀等,灰度直写图形曝光结合干法刻蚀可以更加方便地制作任意图形的3D微纳结构。该方法中,利用微镜矩阵(DMD)开合控制的激光灰度直写曝光表现出更大的操作便捷性、易于设计等特点,不需要特定的灰度色调掩膜版,结合软件的图形化设计可以直观地获得灰度结构[1]。由英国皇家科学院院士,剑桥大学Russell Cowburn教授主导设计研制的小型无掩膜激光直写光刻仪(MicroWriter, Durham Magneto Optics),是一种利用图形化DMD微镜矩阵控制的直写曝光光刻设备。该设备可以在无需曝光掩膜版的条件下,根据用户研究需要,直接在光刻胶样品表面上照射得到含有3D灰度信息的曝光图案,为微流控、MEMS、半导体、自旋电子学等研究领域提供方便高效的微加工方案。此外,它还具备结构紧凑(70cm × 70cm X×70cm)、高直写速度,高分辨率(XY ~ 0.6 um)的特点。采用集成化设计,全自动控制,可靠性高,操作简便。目前在国内拥有包括清华大学、北京大学、中国科技大学、南京大学等100余家应用单位,受到广泛的认可和好评。结合MicroWriter的直写曝光原理,通过软件后台控制DMD微镜矩阵的开合时间,或结合样品表面的曝光深度,进而可以实现0 - 255阶像素3D灰度直写。为上述相关研究领域内的3D线性灰度结构应用提供了便捷有效的实验方案。图1 利用MicroWriter在光刻胶样品表面上实现的3D灰度直写曝光结果,其中左上、左下为灰度设计原图,右上、右下为对应灰度曝光结果,右上莲花图案实际曝光面积为380 × 380 um,右下山水画图案实际曝光面积为500 × 500 um 图2 利用MicroWriter实现的3D灰度微透镜矩阵曝光结果,其中SEM形貌可见其优异的平滑侧壁结构 厦门大学萨本栋微纳米研究院的吕苗研究组利用MicroWriter的灰度直写技术在硅基表面实现一系列高质量的3D灰度图形转移[2],研究人员通过调整激光直写聚焦深度以及优化离子刻蚀工艺,获得具有良好侧壁平滑特征的任意3D灰度结构,其侧壁的表面粗糙度低于3 nm,相较此前报道的其他方式所获得的3D灰度结构,表面平滑性表现出显著的优势。MicroWriter的灰度曝光应用为包括MEMS,微纳光学及微流控等领域的研究提供了优质且便捷的解决方案。图3 利用MicroWriter激光直写在硅基表面实现图形转移过程示意图图4 利用MicroWriter激光直写曝光在硅基表面转移所得的3D灰度结构的实际测量结果与理论设计比较,其中图a中红色散点表示实际图形结构的纵向高度,黑色曲线为图案设计结果;图b中左为设计图形的理论各点高度,右为实际转移结果的SEM形貌结果,其中标准各对应点的实际高度。综上可以看出其表现出优异的一致性图5 利用AFM对抛物面硅基转移结构的测量与分析,可以看到起侧壁的表面平滑度可以小至3 nm以下,表现出优异的侧壁平滑性 利用MicroWriter激光直写曝光技术,不仅可以直接制备任意形状的硅基微纳灰度结构,而且可以将制备的3D结构作为模具、电镀模板或牺牲层来应用在其他材料上,如聚合物、金属或玻璃等。这种直观化的激光直写技术在诸多维纳器件研究领域中表现出显著的应用优势和开发前景。 参考文献:[1] Hybrid 2D-3D optical devices for integrated optics by direct laser writing. Light Sci. Appl. 3, e175 (2014)[2] Fabrication of three-dimensional silicon structure with smooth curved surfaces. J. Micro/Nanolith. MEMS MOEMS 15(3), 034503 相关参考:英国皇家科学院院士、剑桥大学教授Russell Cowburn介绍:https://www.phy.cam.ac.uk/directory/cowburnr
  • 中国210所“虚假大学”完整名录曝光 北京最多
    近日,上大学网发布了&ldquo 中国虚假大学警示榜&rdquo ,曝光了国内 210所不具招生资格、没有办学资质、涉嫌非法招生和网络诈骗的虚假大学,北京为虚假大学的高发地。  野鸡大学的名字实在不好听,可这恰恰说明了人们对此类大学的不屑。所谓的&ldquo 野鸡大学&rdquo ,是指虽然是合法机构,但不被所在国社会、用人企业认可的学校,主要指标是花钱买文凭,而买来的文凭不被用人单位认可。通常,野鸡学校都有非常光鲜的名字,有的和正规的大学只有一字之差,有钓鱼网站的感觉,所以,一定要非常的小心。  野鸡大学一般都有几个相同的主演特征。1.承诺只需几百元即可在7天内取得学位;2.没有课程也没有校园;3.不上课,假称根据学生人生经历直接颁发学位;4.透过垃圾邮件宣传,声称只须缴付金钱便立刻取得学位证书 5.通过报刊杂志等角落做小型广告;6.超低门槛(入学无任何要求等)。  野鸡大学,北京是重灾区  从&ldquo 上大学网&rdquo 发布的三份榜单来看,虚假高校问题在北京最为严重,在210所被曝光的虚假高校里占83所,占比44%;上海次之,有15所,占比8%;被曝光12所虚假高校的山东紧随其后,占6%;天津、河北、河南、湖北、湖南、广东、江苏、浙江、山西、陕西、甘肃、安徽等地均有分布。  李逵VS &ldquo 李鬼&rdquo   &ldquo 中国邮电大学&rdquo 、&ldquo 上海工商学院&rdquo 、&ldquo 中国科技管理学院&rdquo 、&ldquo 长江科技学院&rdquo &hellip &hellip 乍一看,很少人会疑心这些学校有问题,但这就是虚假大学的第一大特点:在名字上&ldquo 耍花招&rdquo 。它们往往故意取与正规高校相似的名字,从而混淆视听。《法制日报》曾于2014年7月报道并分析,虚假学校常利用家长与考生的心理,专门取&ldquo 高大上&rdquo 的名字,有的听起来甚至比正规高校还让人信服,&ldquo 把河南省的正规高校中原工学院,和虚假大学中原工业大学放在一起,一般考生和家长都会认为后者更像正规大学&rdquo 。  盗用正规高校网站内容  虚假高校往往也建有自己的官网,但多数已无法登入。能登入的网站看似与普通高校网站并无二异,但仔细对比便可能发现其中猫腻,如广东电子信息技术学院的网站www.gddz.org&ldquo 合作院校&rdquo 一栏的新闻内容抄袭中山大学,&ldquo 学校简介&rdquo 一栏严重抄袭上海电子信息职业技术学院2009年的简介。从域名来看,国家的高等教育机构官方网站的域名后缀都为&ldquo .edu.cn&rdquo ,但是虚假大学这种网站都是用工商、金融企业的那种&ldquo .com&rdquo 或者&ldquo oip&rdquo 或者&ldquo .cn&rdquo 。再者,虚假大学他没有像正规高校一样有专门的部门、人员来管理这个网站,网站内容往往不更新。210所&ldquo 虚假大学&rdquo 完整名录中国邮电大学上海工商学院中国科技管理学院长江科技学院中国民航学院西安信息技术学院中国师范学院西安工商管理学院中国信息科技学院西安工业科技技术学院中国电子信息科技学院西安工业科技学院中国电子科技学院西安建设科技学院中国科技工程学院陕西国防工业技术学院中国传媒艺术学院南京科技管理学院中国金融管理学院南京金陵科技管理学院中国国际经济管理学院江苏信息工程学院中国工商行政管理学院江苏都市建设学院中国经济贸易大学江苏理工职业学院中国科贸管理学院石家庄工程管理学院中国经济贸易学院华北建筑工业学院中国北方理工学院华北建筑工程学院中国工业工程学院中原工业大学中国现代财经学院河南科技师范学院中国国际工商管理学院杭州建设管理大学华北科技大学对外经济贸易管理学院华北理工学院广东科技管理大学中联司法学院广东经济管理学院北方国际经济学院福建海峡经贸技术学院北方经济管理学院福建经济贸易大学北方医科大学常德经贸学院北京京华医科大学湖南经济管理大学中北科技学院山西信息工程学院华北应用科技学院山西远东外国语学院华北师范学院沈阳盛京大学中原金融学院对外经贸管理学院华侨国际商务学院武汉建筑职工大学首都科技信息管理学院江西赣南学院首都科技管理学院安徽城建大学首都财贸管理大学四川华商学院北京财贸科技学院兰州对外经济贸易学院首都经济管理学院北京财经政法大学首都经济贸易管理学院北京现代商务学院恒远教育北京工程经济学院首都科技职业技术学院北京实验大学首都科技学院北京商贸职工大学首都医学院北京工学院首都文理大学辽宁轻工职工大学北京经济贸易大学天津联合大学北京经济贸易学院北京科技工程学院北京对外贸易学院北京工程技术大学首都财经贸易大学北京现代工程学院北京燕京华侨大学北京经济信息学院北京经贸联合大学北京京桥大学北京国际金融学院北京电子科技管理学院北京国际经济管理学院北京前进大学淄博理工学院北京工商学院山东邮电大学北京国际医学院山东经济贸易大学北京财经贸易学院山东科技工程学院北京财贸管理学院上海东方科技学院北京财贸管理大学上海工程管理学院北京建筑工业学院上海华夏学院北京法商学院上海建筑工业学院北京经济工程学院上海商贸管理大学北京经济工程大学南京工商大学北京城市建设学院华东农林科技大学北京商贸管理学院安徽城市建设学院北京贸易管理大学江西科技工程大学北京商贸管理大学江西科技管理学院京师科技学院江西经贸管理学院北京科技学院华中工商学院北京中山学院中原工商管理学院北京京城学院湖南屈原大学上海经济贸易大学株洲航空旅游学院上海工业科技大学四川财经管理学院上海工业科技学院四川中山学院上海财经贸易学院广东电子信息技术学院上海金融管理学院厦门师范学院天津文理大学北京经济管理学院西安电子信息学院中国信息科技大学杭州工商管理大学中国信息工程学院杭州理工大学首都财经管理学院杭州理工学院北京财经管理大学南京科技学院北京财经管理学院南京商学院北京财商学院山西理工学院神州大学新民大学北京中加工商学院武汉科技工程学院北京京文国际学院武汉工商管理大学北京商贸大学湖北工商管理学院北方交通工程学院广州理工学院华北工业大学河南华夏医学院北京英迪经贸学院石家庄电子科技学院北京高级财务管理专修学院青岛博洋商务学院青岛远洋大学山东经济技术学院山东文理学院山东沂蒙学院山东建设学院北京财经大学青岛现代经贸学院北京城建大学山东经济信息学院中外经贸管理学院山东城市学院西安理工学院华东财经学院西安工商学院上海同济医科大学西安科技师范大学上海华文外国语学院华北经贸管理学院上海城市建设大学山西经济技术学院河北东亚大学山东东岳学院北京经贸科技学院北京财经学院
  • 央视315曝光:“脚尖上的土坑酸菜”除了脏,还藏有什么?
    2022年央视3.15曝光食品安全违法违规行为,一些所谓的“老坛酸菜”居然是用土坑里腌制的酸菜来加工的。湖南多家代加工酸菜制品企业被曝光,这些企业的生产卫生状况堪忧,存在重要食品安全隐患。其中,重点点名“湖南插旗菜业有限公司”。插旗菜业为方便面菜包里加工的酸菜制品,实际上是其工厂附近村庄的土坑里加工而成的“土坑酸菜”,并没有经过坛子发酵。而用这“土坑酸菜”所加工的成品,甚至会含有一些杂质,比如树叶、纤维… … 而且在加工过程中,卫生状况非常糟糕!工人或穿着拖鞋,或光着脚,在酸菜上踩来踩去。有的甚至一边抽烟一边干活,抽完的烟头直接扔到酸菜上。如此恶劣的卫生条件,没有任何卫生安全保障,让人触目惊心!然而,除了肉眼可见的脏,这些“脚尖上的酸菜”还隐藏着看不见的超标添加剂… … 由于酸菜的腌制时间短,包装好后,一两个月左右就会发黑变烂,所以在加工过程中就会超量添加防腐剂。坛坛俏食品有限公司的刘姓经理声称:“现在我们做的这个酸菜,里面的防腐剂是超标的,超标成分主要来自护色剂、焦亚硫酸钠、二氧化硫等。在夏天,防腐剂一般超标两到十倍。”这些护色剂、焦亚硫酸钠、二氧化硫,以及酱腌菜还存在的糖精、甜蜜素等添加剂,长期过量食用,会对人体肝脏和神经系统造成危害,同时会有致癌、致畸的风险。中国《食品添加剂使用卫生标准》明确规定,甜蜜素在酱菜、调味酱汁、配制酒、糕点等范围内使用,最大使用量为0.65g/kg,人体每日每千克体重可摄入甜蜜素的最 大量为11mg;糖精在食品允许使用量限制应小于0.150g/kg,人体每日摄取安全容许量(ADI)为0~2.5mg/kg。国家市场监督管理总局发布的2022年食品安全监督抽检计划中也对酱腌菜的检测项目做出了明确规定:食品安全大于天,任何挑战消费者“舌尖安全”的行为都应被严惩!构筑食品安全防线,除了从食品源头上严格抓起,还要借助先进的产品检测手段。CATO标准品,助力保障食品安全!
  • 3· 15来了!曝光海参“水深”!
    受新冠肺炎疫情影响,中央广播电视总台2020年“315”晚会延期4个月后,7月16日晚8点在央视财经频道现场直播。本届晚会聚焦了食品安全、汽车出行、住房精装、美容、在线教育等多个行业,曝光了海参“水深”!养海参整箱放敌敌畏、汉堡王用过期面包做汉堡,鸡腿排保质期随意改、趣头条屡现违规广告,“套户”黑产业链浮出水面等多方面问题。养海参整箱放敌敌畏, 南方海参冒充北方海参 央视“315”晚会首先曝光了海参问题,央视记者在山东即墨采访发现,该地区存在“养海参整箱放敌敌畏,南方海参冒充北方海参”的现象。养殖户坦言,为了清除不利海参生长的其他生物,他刚刚往池塘里加入了不少敌敌畏。而这种现象非常普遍。 一些大棚海参养殖户偷偷告诉记者,他们在养殖过程中也会使用土霉素等兽药原粉,以防海参死亡。《农药管理条例》全文(2017修订)第三十四条规定:农药使用者不得扩大使用范围、加大用药剂量或者改变使用方法。敌敌畏产品包装上明确规定:适用于棉花、小麦、茶树、蔬菜、苹果等多种植物上害虫及多种粮仓、卫生害虫的防治。并不可以使用在海参这类海产品上。 针对此次央视“315”晚会曝光的海参问题,迪马科技快速响应,推出海参中多种农药残留的筛查 GC-MS法、水中敌敌畏等有机磷农药的检测、水中多种兽药残留的检测等相关方案,供大家参考。详细检测方案如下:海参中多种农药残留的筛查 GC-MS法1、适用范围本方案适用于海参中敌敌畏等多种农药的筛查。2、标准品配置混合标准储备溶液:准确称取标准品,用甲苯分别配制成10 mg/mL的标准储备液,再用乙腈配制成2.5 μg/mL的混合标准储备液。3、提取取湿海参,充分均质混匀,(对于干海参样品,建议参照 《GB 31602-2015 食品安全国家标准 干海参》 附录A.3.4.2进行复水后均质)。(1) 称取5 g样品,加入4 g氯化钠、15 mL乙腈,振荡5 min,6000 rpm离心2 min,收集上层清液;(2) 再向下层加入15 mL乙腈,按步骤(1)重复提取一次,合并两次上清液;(3) 将上清液在35 ℃水浴下减压蒸馏至干,加入1 mL乙腈,超声溶解,待净化。4、净化ProElut QuE 2 mL Tube (Cat#:64609)将待净化液转移到2 mL ProElut QuEChERS净化管,涡旋混合1 min,8000 rpm离心2 min,取出上清液,供GC-MS分析。5、色谱条件色谱柱:DM-5MS,30 m×0.32 mm×0.25 μm (Cat.#8231)进样口温度:240 ℃升温程序:初始温度70 ℃,保持2 min,以25 ℃/min升温至150 ℃,再以3 ℃/min升温至200 ℃,再以8 ℃/min升温至280 ℃,保持12 min。载气:氦气流速:1.46 mL/min进样方式:不分流进样进样量:1.0 μL离子源温度:230 ℃接口温度:280 ℃溶剂延迟:5.9 min电子轰击电离源(EI):选择离子监测模式(SIM),分组监测见表16、添加回收结果海参中多种农药残留GC-MS检测的添加回收结果。加标量:2.5 μg/mL混标,加40 μL。多种农药残留标准(10 μg/mL)TIC图水中敌敌畏等有机磷农药的检测1、样品前处理取水样100 mL于250 mL分液漏斗中,用乙酸溶液(1+6)调节pH值6.5左右,用二氯甲烷-丙酮等体积混合溶液(1+1)萃取2次。每次用量分别为20、10 mL,合并2次萃取液经无水硫酸钠脱水,置于旋转蒸发器内(水浴温度45 ℃,转速为40 r/min)减压浓缩至1.0 mL,供气相色谱分析使用。2、色谱分析色谱柱:DM-5 30 m×0.32 mm×0.25 μm (Cat#: 7231)载气:氮气(99.999%)流量:1.0 mL/min氢气流量:3 mL/min空气流量:45 mL/min进样量:1.0 μL柱温:初温100 ℃,保持3 min,以10 ℃/min升至180 ℃,保持2 min,再以5 ℃/min升至230 ℃,保持5 min进样口温度:240 ℃检测器:火焰光度检测器(FPD), 250 ℃来源:《毛细管柱气相色谱法测定水中13 种有机磷农药的方法研究》 环境与职业医学 2009, 2(26):216-218水中多种兽药残留的检测1、应用范围适用于水中氯霉素、磺胺类、四环素类、脱水红霉素以及喹诺酮类等兽药残留检测,氯霉素的检出限是0.1 ng/L,磺胺嘧啶的检出限是0.8 ng/L,磺胺甲基嘧啶的检出限是1.2 ng/L,磺胺吡啶的检出限是0.9 ng/L,磺胺二甲嘧啶的检出限是2.3 ng/L,磺胺甲氧哒嗪的检出限是0.6 ng/L,土霉素的检出限是29 ng/L,金霉素的检出限是35 ng/L,四环素的检出限是20 ng/L,脱水红霉素的检出限是1.1 ng/L,马波沙星的检出限是14.2 ng/L,沙拉沙星的检出限是13.0 ng/L,恩诺沙星的检出限是4.8 ng/L,双氟沙星的检出限是8.8 ng/L。2、提取(1) 水样以0.45 μm滤膜除去悬浮物;(2) 取200 mL水样和100 mL Mcllvaine缓冲液*,混匀,准备净化。*Mcllvaine缓冲液(pH 4.0):称取磷酸氢二钠(Na2HPO412H2O) 27.6 g、柠檬酸(C6H8O7H2O) 12.9 g、乙二胺四乙酸二钠盐37.2 g,用水溶解后稀释并定容至1000 mL。3、净化ProElut PLS 150mg/6mL (Cat.# 68004)活化:依次加入5 mL甲醇、5 mL水,流出液弃去;上样:加入待净化液,流出液弃去;淋洗:加入10 mL 水,流出液弃去,推干小柱;洗脱:加入5 mL甲醇,收集流出液;重新溶解:将流出液在35 ℃下减压蒸至近干,用水定容至1 mL,供HPLC分析。4、色谱条件液相条件氯霉素液相条件色谱柱:Endeavorsil C18, 100 mm×2.1 mm, 1.8 μm(Cat.# 87003)流速:0.2 mL/min进样量:5 μL柱温:40 ℃流动相:A:10 mmol/L乙酸铵溶液 B:乙腈其它兽药液相条件色谱柱:Endeavorsil C18, 100 mm×2.1 mm, 1.8 μm(Cat.# 87003)流速:0.2 mL/min进样量:5 μL柱温:35 ℃流动相:A:0.4%甲酸水 B:甲醇-乙腈-甲酸(40:60:0.4)质谱条件氯霉素质谱条件电离模式:ESI 扫描方式:负离子扫描检测方式:多反应监测 电喷雾电压:-4500 V雾化气压力:50 psi 辅助气压力:50 psi气帘气压力:20 psi 离子源温度:500 ℃其它兽药质谱条件电离模式:ESI 扫描方式:正离子扫描检测方式:多反应监测 电喷雾电压:5500V雾化气压力:50 psi 辅助气压力:50 psi气帘气压力:20 psi 离子源温度:500 ℃5、添加回收结果水中14种兽药残留的LC-MS/MS检测添加回收结果相关产品信息:
  • 紫金山天文台在多次曝光图像的超分辨率重建领域取得进展
    目前,天文观测中望远镜的最高分辨率和感光元件的采样率仍是获得高质量图像的瓶颈。近期,中国科学院紫金山天文台科研团队提出新的图像叠加方法——欠采样的“多次曝光图像反混叠与PSF反卷积技术”,获得了超过望远镜衍射极限的超分辨率图像。该项技术已应用在中国空间站工程巡天望远镜多通道成像仪(CSST-MCI)的科学仿真数据处理管线中,届时CSST-MCI超深场的极限星等将达到30等,光学分辨率至少提高一倍。相关研究成果发表在《皇家天文学会月报》(Monthly Notices of the Royal Astronomical Society)上。   19世纪照相术的应用为天文观测领域带来质的飞跃,而20世纪基于CCD、CMOS的数字照相技术引领天文学进入数字化时代,产生了斯隆数字巡天、哈勃太空望远镜、XMM-Newton、凯克等望远镜,本年度世界最强大的太空望远镜“韦布”(JWST)也投入运行。但受限于建造技术和加工工艺,望远镜口径无法无限制加大,感光元件的最小感光单元(pixel)尺寸也无法无限小。在硬件提供有限支持的条件下,科研人员通过软件技术来提升图像质量。天文观测不同于普通的摄影拍照,被拍摄对象一般是恒久不变的(如系外恒星、星系等)。因此,对于欠采样的感光元件,研究通过对同一天区多次曝光再叠加的方法,提高采样率和信噪比。另一方面,将望远镜口径支架的衍射模型与拍摄到的恒星(点源)图像相结合,能构建决定望远镜最高分辨率的点扩散函数(PSF),再结合适当的PSF反卷积方法可获得超过望远镜衍射极限的超分辨率图像。   在综合分析其他多次曝光图像叠加技术的基础上,科研人员提出带有比值改正项的迭代新技术——欠采样的“多次曝光图像反混叠与PSF反卷积技术”。该技术根植于贝叶斯统计理论,并引入了正则化手段,加快了迭代收敛速度,减少反复使用快速傅立叶变换而导致的振铃效应(ringing),从而得到具更高保真度的超分辨率图像。与其他技术相比较,新技术用最少的迭代步数实现了在多种场景下(噪音不同、源形态不同、背景不同等)最高峰值信噪比(PSNR)、最高光学分辨率、几乎最高的结构相似性(SSIM)和最小的流量改变量等关键技术指标,有利于分辨天体的空间结构、天体测光和引力透镜信号测量。   研究工作得到国家自然科学基金委、中国载人航天计划、中科院前沿科学重点研究计划和国家基础学科公共科学数据中心等的支持。图1.新技术(黑线)与其他工作在PSNR,SSIM和流量改变量(越小越好)的对比,横轴为迭代次数。图2.超分辨本领对比,三组双星系统分别在单次曝光的欠采样观测图像(左一)、Drizzle(叠加110副观测图像,左二)、Richardson-Lucy(其他研究工作中最好的图像,左三)以及重建技术(右一)中的表现,可看到新技术能完全分辨出三组双星系统的图像重建。图3.新技术在JWST-NIRcam三波段F090W(blue)、F150W(green)、F200W(red)的RGB合成图中的表现。动图显示的是SMACS-0723-clusterfield中的一角,一帧是Drizzle叠加的原图(星系上的星芒明显),另一帧是利用新技术进行欠采样反混叠和PSF反卷积后的图像,可看到被卷积在星系上的星芒已被消除,该图比NASA官方发布的图像像素解析度提高一倍(9384X9384pixel),光学分辨率提高至少一倍。
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制