当前位置: 仪器信息网 > 行业主题 > >

半导体封装检测

仪器信息网半导体封装检测专题为您提供2024年最新半导体封装检测价格报价、厂家品牌的相关信息, 包括半导体封装检测参数、型号等,不管是国产,还是进口品牌的半导体封装检测您都可以在这里找到。 除此之外,仪器信息网还免费为您整合半导体封装检测相关的耗材配件、试剂标物,还有半导体封装检测相关的最新资讯、资料,以及半导体封装检测相关的解决方案。

半导体封装检测相关的资讯

  • 第三届“半导体工艺及封装检测新技术”网络会议回放视频上线!
    2024年5月9-10日,仪器信息网联合电子工业出版社共同主办了第三届“半导体工艺及封装检测新技术”网络会议,并得到了日本电子、日立科学仪器、徕卡、SCIEX中国、青岛众瑞等多家仪器企业的大力支持。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告。会议共历时2天,20余名专家和近千名观众围绕薄膜沉积与外延及其检测技术、光刻与刻蚀及其检测技术、半导体封装及其检测技术、半导体失效分析及沾污检测四个专题展开线上讨论。会议过程中,听众积极参与,直播间氛围热烈。会议的21个报告,经征求报告嘉宾意见,部分报告将设置视频回放,便于广大网友温故知新,详情见下表:第三届半导体工艺及封装检测新技术网络会议05月09日薄膜沉积与外延及其检测技术报告题目报告嘉宾回放链接原子层沉积技术发展及应用屈芙蓉中国科学院微电子研究所 高级工程师回放第十族贵金属硫化物少层材料研究进展杨鹏云南大学 研究员不回放Si衬底上GaN基材料外延生长研究进展陈正昊北京大学 博士回放05月09日光刻与刻蚀及其检测技术报告题目报告嘉宾回放链接面向广义芯片的特种曝光装备及关键技术研究刘俊伯中国科学院光电技术研究所 副研究员回放SCIEX质谱在光刻胶成分分析与表征的应用及解决方案陈慧敏SCIEX 应用支持专家不回放如何通过3讲堂实现会议营销事半功倍刘亚伟北京信立方科技发展股份有限公司 会议运营部平台运营经理回放爱发科在化合物半导体刻蚀(GaN, InP, LN)的解决方案吴必昇爱发科(苏州)技术研究开发有限公司 研究员不回放硅干法刻蚀技术介绍王晓东中国科学院半导体研究所 研究员回放05月10日半导体封装及其检测技术报告题目报告嘉宾回放链接元器件国产化验证工艺整体解决方案周舟工业和信息化部电子第五研究所 工程师不回放碳化硅功率器件封装与可靠性测试田鸿昌中国电气装备集团科学技术研究院有限公司 电力电子器件专项负责人回放新能源汽车用功率器件可靠性测试标准AQG324解读(下)邓二平合肥工业大学 教授回放先进封装集成电路机械性能评价邓传锦工业和信息化部电子第五研究所 高级工程师不回放众瑞0.1μm尘埃粒子计数器样机开放试用!青岛众瑞智能仪器股份有限公司/05月10日半导体失效分析及沾污检测报告题目报告嘉宾回放链接化合物半导体材料检测与应用李春华上海市计量测试技术研究院 集成电路产业中心主任/高工回放使用截面抛光仪制备电子元件截面样品——截面制备原理与封装半导体元件内部截面制备庞铮捷欧路(北京)科贸有限公司 应用工程师回放日立半导体FA解决方案--制样、观察、量测、分析周鸥日立科学仪器(北京)有限公司 专门部长不回放徕卡光学显微镜在电子半导体的应用王海银徕卡显微系统(上海)贸易有限公司 工业显微镜应用工程师回放徕卡先进制样技术在电子半导体行业应用介绍王露露徕卡显微系统(上海)贸易有限公司 电镜制样产品应用工程师回放先进表征技术驱动新材料研发:从基础研究到产品“微”创新刘小春长沙理工大学金属研究所 所长/教授回放集成电路静电放电失效分析与评价何胜宗工业和信息化部电子第五研究所 高级工程师回放芯片的可靠性应用设计与测试评估黄伟冠工业和信息化部电子第五研究所 项目工程师不回放引线键合工艺及监控手段介绍张乐银华东光电集成器件研究所 所级关键技能带头人 中国兵器集团公司关键技能带头人回放
  • 明日开播!第三届“半导体工艺及封装检测新技术”网络会议最终日程公布
    半导体工艺是当今世界中不可或缺的一项技术,它影响着我们生活的各个方面。从计算机到通信,从医疗到能源,几乎所有现代科技应用都依赖于半导体器件的存在。半导体工艺的重要性源于其能够制造出微小而精密的电子器件,这些器件能够在电子级别控制电流和信息流动。这种控制能力使得我们可以创造出计算速度极快的处理器、储存大量数据的芯片、实现高速通信的设备,甚至是探索未知领域的科学工具。基于此,仪器信息网联合电子工业出版社于5月9-10日组织召开第三届“半导体工艺及封装检测新技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。一、主办单位仪器信息网&电子工业出版社二、会议时间2024年5月9日-10日三、会议日程四、参会方式1、本次会议免费参会,参会报名请点击:https://www.instrument.com.cn/webinar/meetings/semicon2024/扫描二维码报名2、温馨提示1) 报名后,直播前一天助教会统一审核,审核通过后,会发送参会链接给报名手机号。填写不完整或填写内容敷衍将不予审核。2) 通过审核后,会议当天您将收到短信提醒。点击短信链接,输入报名手机号,即可参会。五、报告申请欢迎半导体制造、半导体设备商、高校科研院所从事半导体工艺、封装检测的专家老师自荐,有意向进行报告分享的老师请于2024年4月29日之前将姓名、职位、单位、报告题目、摘要,以及联系方式(邮箱、电话)发至邮箱:guozw@instrument.com.cn,联系电话:17325206387。由于会议时长有限,会务组将根据与会议主题的契合度,以及收到邮件或电话申请的时间择优选用,敬请谅解!如果录用,我们会在第一时间与您取得联系!六、会议联系1、会议内容仪器信息网郭编辑:17325206387,guozw@instrument.com.cn2、会议赞助刘经理,15718850776,liuyw@instrument.com.cn附:往届会议页面第二届半导体工艺及检测技术网络会议首届半导体工艺及其检测技术网络会议
  • 第三届“半导体工艺及封装检测新技术”网络会议第一轮通知
    半导体工艺是当今世界中不可或缺的一项技术,它影响着我们生活的各个方面。从计算机到通信,从医疗到能源,几乎所有现代科技应用都依赖于半导体器件的存在。半导体工艺的重要性源于其能够制造出微小而精密的电子器件,这些器件能够在电子级别控制电流和信息流动。这种控制能力使得我们可以创造出计算速度极快的处理器、储存大量数据的芯片、实现高速通信的设备,甚至是探索未知领域的科学工具。基于此,仪器信息网联合电子工业出版社于5月9-10日组织召开第三届“半导体工艺及封装检测新技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。一、主办单位仪器信息网&电子工业出版社二、会议时间2024年5月9日-10日三、会议日程四、参会方式1、本次会议免费参会,参会报名请点击:https://www.instrument.com.cn/webinar/meetings/semicon2024/扫描二维码报名2、温馨提示1) 报名后,直播前一天助教会统一审核,审核通过后,会发送参会链接给报名手机号。填写不完整或填写内容敷衍将不予审核。2) 通过审核后,会议当天您将收到短信提醒。点击短信链接,输入报名手机号,即可参会。五、报告申请欢迎半导体制造、半导体设备商、高校科研院所从事半导体工艺、封装检测的专家老师自荐,有意向进行报告分享的老师请于2024年4月29日之前将姓名、职位、单位、报告题目、摘要,以及联系方式(邮箱、电话)发至邮箱:guozw@instrument.com.cn,联系电话:17325206387。由于会议时长有限,会务组将根据与会议主题的契合度,以及收到邮件或电话申请的时间择优选用,敬请谅解!如果录用,我们会在第一时间与您取得联系!六、会议联系1、会议内容仪器信息网郭编辑:17325206387,guozw@instrument.com.cn2、会议赞助刘经理,15718850776,liuyw@instrument.com.cn附:往届会议页面第二届半导体工艺及检测技术网络会议首届半导体工艺及其检测技术网络会议
  • 会议内容更新!第三届“半导体工艺及封装检测新技术”网络会议第二轮通知
    半导体工艺是当今世界中不可或缺的一项技术,它影响着我们生活的各个方面。从计算机到通信,从医疗到能源,几乎所有现代科技应用都依赖于半导体器件的存在。半导体工艺的重要性源于其能够制造出微小而精密的电子器件,这些器件能够在电子级别控制电流和信息流动。这种控制能力使得我们可以创造出计算速度极快的处理器、储存大量数据的芯片、实现高速通信的设备,甚至是探索未知领域的科学工具。基于此,仪器信息网联合电子工业出版社于5月9-10日组织召开第三届“半导体工艺及封装检测新技术”主题网络研讨会。会议旨在邀请领域内专家围绕半导体产业常用的工艺与封装检测技术,从各种半导体制造工艺及封装检测技术等方面带来精彩报告,依托成熟的网络会议平台,为半导体产业从事研发、教学、生产的工作人员提供一个突破时间地域限制的免费学习、交流平台,让大家足不出户便能聆听到精彩的报告。一、主办单位仪器信息网&电子工业出版社二、会议时间2024年5月9日-10日三、会议日程四、参会方式1、本次会议免费参会,参会报名请点击:https://www.instrument.com.cn/webinar/meetings/semicon2024/ 扫描二维码报名2、温馨提示1) 报名后,直播前一天助教会统一审核,审核通过后,会发送参会链接给报名手机号。填写不完整或填写内容敷衍将不予审核。2) 通过审核后,会议当天您将收到短信提醒。点击短信链接,输入报名手机号,即可参会。五、报告申请欢迎半导体制造、半导体设备商、高校科研院所从事半导体工艺、封装检测的专家老师自荐,有意向进行报告分享的老师请于2024年4月29日之前将姓名、职位、单位、报告题目、摘要,以及联系方式(邮箱、电话)发至邮箱:guozw@instrument.com.cn,联系电话:17325206387。由于会议时长有限,会务组将根据与会议主题的契合度,以及收到邮件或电话申请的时间择优选用,敬请谅解!如果录用,我们会在第一时间与您取得联系!六、会议联系1、会议内容仪器信息网郭编辑:17325206387,guozw@instrument.com.cn2、会议赞助刘经理,15718850776,liuyw@instrument.com.cn附:往届会议页面第二届半导体工艺及检测技术网络会议首届半导体工艺及其检测技术网络会议
  • 半导体封装行业的热分析应用
    半导体业务中的典型供应链, 显示了需要材料表征、材料选择、质量控制、工艺优化和失效分析的不同工艺步骤热分析在半导体封装行业中有不同的应用。使用的封装材料通常是环氧基化合物(环氧树脂模塑化合物、底部填充环氧树脂、银芯片粘接环氧树脂、圆顶封装环氧树脂等)。具有优异的热稳定性、尺寸稳定性以及良好户外性能的环氧树脂非常适合此类应用。固化和流变特性对于确保所生产组件工艺和质量保持一致具有重要意义。通常,工程师将面临以下问题:特定化合物的工艺窗口是什么?如何控制这个过程?优化的固化条件是什么?如何缩短循环时间?珀金埃尔默热分析仪的广泛应用可以提供工程师正在寻找的答案。差示扫描量热法(DSC)此项技术最适合分析环氧树脂的热性能,如图1所示。测量提供了关于玻璃化转变温度(Tg)、固化反应的起始温度、固化热量和工艺最终温度的信息。图 1. DSC曲线显示环氧化合物的固化特征DSC可用于显示玻璃化转变温度,因为它在给定温度下随固化时间(图2)的变化而变化。图 2. DSC 曲线显示玻璃化转变温度随着固化时间的延长而逐渐增加玻璃化转变温度(Tg)是衡量环氧化合物交联密度的良好指标。事实上,过程工程师可以通过绘制玻璃化转变温度与不同固化温度下固化时间的关系图来确定最适合特定环氧化合物的工艺窗口(图3)。图 3. 玻璃化转变温度与不同固化温度下的固化时间的关系如果工艺工程师没有测试这些数据,则生产过程通常会导致产品质量低下,如图4所示。图 4. 玻璃化转变温度与不同固化温度下的固化时间的关系在本例中,制造银芯片粘接环氧树脂使用的固化条件处于玻璃化转变温度与时间的关系曲线的上升部分(初始固化过程)。在上述条件下,只要固化时间或固化温度略有改变,就有可能导致结果发生巨大变化。结果就是组件在引脚框架和半导体芯片之间容易发生分层故障。通过使用功率补偿DSC(例如珀金埃尔默的双炉DSC),生成上述玻璃化转变温度与温度 / 时间关系曲线,可确定最佳工艺条件。使用此法,即使是高度填充银芯片粘接环氧树脂的玻璃化转变也可以被检测出。这些数据为优化制造工艺提供了极有帮助的信息。使用DSC技术,可以将固化温度和时间转换至160° C和2.5小时,以此达到优化该环氧树脂固化条件的目的。这一变化使过程稳定并获得一致的玻璃化转变温度值。在珀金埃尔默,DSC不仅被用于优化工艺,而且还通过监测固化产物的玻璃化转变温度值,发挥质量控制工具的作用。DSC 8000 差示扫描量热仪DSC 还可以用于确定焊料合金的熔点。用DSC分析含有3%(重量比)铜(Cu)、银(Ag)或铋(Bi)的锡合金。图5中显示的结果表明,不同成分的合金具有非常不同的熔点。含银合金在相同浓度(3%(重量比))下熔点最低。图 5. DSC:不同焊接合金在不同湿度环境下的熔点分析热重分析(TGA)珀金埃尔默热分析仪有助于设计工程师加深对材料选择的理解。例如,珀金埃尔默TGA 8000(图6)可以检测出非常小的重量变化,并可用于测量重要的材料参数,如脱气性能和热稳定性。这将间接影响组件的可焊性。图7显示了在230°C 和260° C下具有不同脱气性能的两种环氧树脂封装材料。重量损失(脱气)程度越高,表明与引脚框架接触的环氧树脂密封剂的环氧—引脚框架分离概率越高。图 6. 珀金埃尔默TGA 8000图 7. TGA结果显示两种材料具有不同的脱气性能热机械分析(TMA)当材料经受温度变化时,TMA可精确测量材料的尺寸变化。对于固化环氧树脂体系,TMA可以输出热膨胀系数(CTE)和玻璃化转变温度。环氧树脂的热膨胀系数是非常重要的参数,因为细金线嵌入环氧化合物中,并且当电子元件经受反复的温度循环时,高热膨胀系数可能导致电线过早断裂。不同热膨胀系数之间的拐点可以定义为玻璃化转变温度(图8)。TMA还可以用于确定塑料部件的软化点和焊料的熔点。图 8. 显 TMA 4000 测试的典型的 TMA 图动态力学分析(DMA)选择材料时,内部封装应力也是关键信息。将DMA与 TMA技术结合,可以获得关于散装材料内应力的定量信息。DMA测量材料的粘弹性,并提供不同温度下材料的模量,具体如图9所示。当材料经历热转变时,模量发生变化,使分析人员能够轻松指出热转变,如玻璃化转变温度、结晶或熔化。图 9. DMA 8000 测试的典型的 DMA 图热分析仪用于ASTM 和IPC材料标准试验、质量控制和材料开发。图10显示了一个涉及热分析仪的IPC试验。珀金埃尔默DMA目前已在半导体行业得到广泛应用。图 10. DMA:显示透明模塑化合物的内应力热分析仪是半导体封装行业的重要工具。它们不仅在设计和开发阶段发挥了重要作用,而且还可用于进行故障分析和质量控制。许多标准方法都对热分析的使用进行了描述(图11)。使用珀金埃尔默热分析仪,用户可以优化加工条件并选择合适的材料以满足性能要求,从而确保半导体企业能够生产出高品质的产品。考虑到此类分析可以节省大量成本,热分析仪无疑是一项“必备”试验设备!图 11. 用于标准方法的热分析仪
  • 从抽检到全检,SEC推出其X-RAY和电镜半导体封装测试方案
    SEMICON CHINA 是中国首要的半导体行业盛事之一,它囊括当今世界上半导体制造邻域主要的设备和材料厂商。2021年3月17日,SEMICON CHINA 2021在上海新国际博览中心隆重召开。上海赛可检测设备有限公司(SEC)也携其X-RAY和电镜产品亮相SEMICON CHINA 2021。上海赛可检测设备有限公司是韩国赛可检测设备有限公司(SEC)在中国的子公司。据了解,韩国赛可于1991年在韩国水原市成立,专业从事工业在线半导体封装设备、X-RAY检测机、SEM(台式扫描电镜)和加速器四类产品的研发和生产。本次SEMICON CHINA 2021展会带来的是在半导体封装测试中使用最多的X-RAY和电镜产品。而在本次展会上,我们有幸采访了上海赛可检测设备有限公司总经理丁光声。SEC公司自1991年创业至今到现在,已经历30多年的历史。 SEC在创业初期的10年间以自动化生产设备为主要产业项目不断发展壮大。1999年研发并生产LCD驱动芯片贴片回流封装设备在韩国LTD领域封装设备市场一举占领70%的市场份额。 2000年开始着手研发SMT行业的新兴设备X射线检测设备和扫描电子显微镜,并于2002年完成了X射线检测设备的研发并成功推上市场。赛可在韩国的客户覆盖了三星、LG、SK-海力士等知名半导体厂和电子厂,在国外也获得了AMKOR等客户。兼容2D,3D的晶圆级X-RAY检测机赛可的X-RAY检测机广泛应用于半导体封装中的内部缺陷检测,主要分为“开放式光管”和“封闭式光管“两大类,是韩国第一家也是唯一一家能生产X-RAY核心部件光管的企业,相关技术处于世界领先的地位。赛克的晶圆级检测设备如NF120搭载了200nm级别开管式光管以实现无损检测。丁光声表示,相比于同类型产品,赛可的晶圆级检测设备采用脉冲式照射,大大减少了X射线对检测样品的损伤。赛可(SEC)X-RAY检测设备 NF120据了解,赛可的X-eye NF120 Series搭载了200nm的Nano-focus Tube,广泛应用于要求检测Sub-micron单位不良的半导体封装即Wafer level packaging(WLP)领域里,在Wafer level工艺中,可对TSV,Micro-bump,Cu pilar等产生的超细微不良进行自动检测。SEC独有的3D CT用Auto Collimation及Filtering技术实现X-ray damage free(无损检测),可安全检测存储半导体芯片。此外,这款仪器可同时兼容2D,3D自动检测。具有-45°~90°倾斜度的钨灯丝小型化扫描电镜作为韩国首家台式电镜生产厂家,赛可先后开发出了SNE-3200M、SNE-3000MS和SNE-4500M Plus型号的台式扫描电镜。赛可(SEC)SNE-4500M Plus 台式扫描电镜赛可最新的SNE-4500M Plus台式扫描电镜实现了落地式钨灯丝扫描电镜的小型化,可以更真实地反应出样品表面形貌信息,对不耐电子束辐照的样品损伤小。由于加速电压范围宽,这款仪器适合各种样品的形貌观察及元素分析。此外,这款仪器还能实现二次电子和背散射电子同时成像,兼具高、低真空模式,标配五轴全自动马达台,有利于多角度精细地观察样品,具有-45°~90°大范围的倾斜角度,可以在不更换特定样品台的情况下直接进行截面观察。从抽检到全检,SEC将发力电池行业2009年,赛可在中国设立办事处,并随着韩国半导体企业在中国设厂而进入中国市场。2018年底,赛可在上海成立分公司,主攻中国国内企业,以求进一步打开中国市场。据介绍,赛可在中国的客户主要是半导体产业的三星、SK-海力士、UTAC等企业,去年更是获得了江阴长电的晶圆级X-RAY订单。谈到中国封测产业的未来发展时,丁光声表示,随着芯片越来越小,性能越来越高,对先进封装的要求也越来越高,未来封测将由抽检转向全检,同时对全检的要求也将越来越高。伴随着中国工厂的技术飞速进步,封装在线检测设备在中国将迎来快速发展。未来,赛可在中国将发力与新能源产业紧密相连的电池行业。
  • 发力半导体封装设备,这家公司刚牵手海思半导体
    劲拓股份的一纸公告,给公司带来了一个涨停板。劲拓股份7月6日晚间公告称,7月6日,公司与海思半导体在深圳签订了合作备忘录。劲拓股份称,双方旨在加大半导体封装设备领域的合作,解决卡脖子问题,实现产业自主可控。7月7日午间收盘,劲拓股份以19.99%的涨幅涨停。劲拓股份牵手海思半导体7月6日晚间,劲拓股份公告称,公司与深圳市海思半导体有限公司签订了《海思劲拓合作备忘录》,协议签订时间为2021年7月6日,协议签订地点为深圳市。海思半导体是华为旗下公司。7月5日,劲拓股份在互动易表示,公司在电子热工、光电显示、半导体热工等专用设备方面,与华为均有不同程度的合作。7月6日,劲拓股份公告称,备忘录的签订代表劲拓在热工领域的能力得到海思认可,双方建立紧密的战略合作关系,将推动劲拓快速打造半导体热工设备研发平台,持续实现半导体产业链中系列设备的国产化。此次合作给劲拓带来积极影响,符合公司及股东的利益。劲拓股份还表示,合作备忘录对公司的业务独立性不构成影响,对公司本年度经营业绩的影响需视协议各方后续具体合作协议的签订和实施情况而定。备忘录仅为战略框架性协议,属于各方合作意愿和基本原则的框架性、意向性的约定,不涉及具体金额,签订程序无需提交公司董事会和股东大会审议。从海思来看,今年4月,在2021年华为全球分析师大会上,华为轮值董事长徐直军回答“海思未来营运模式”时坦言,首先,海思研发的任何芯片现在没有地方能够生产加工。第二,海思对于华为来讲,只是一个芯片设计部门,所以华为对它没有盈利的诉求。“现在我们就是养着这支队伍,继续向前,只要我们养得起。当然,这支队伍可以不断地做一些研究、技术的开发、技术的积累,为未来做一些准备。”徐直军说。部分半导体热工设备批量交货据了解,劲拓股份主要从事专用设备的研发、生产、销售和服务,主要产品按大类可以划分为电子整机装联设备、光电平板(TP/LCD/OLED)显示模组生产专用设备等。公司业务层面推行事业部制,公司共有3个业务事业部,分别为热工电子事业部、封装事业部和DAS事业部,其中热工电子事业部负责公司电子整机装联业务,封装事业部和DAS事业部负责公司光电平板(TP/LCD/OLED)显示模组相关业务。劲拓股份2021年一季报显示,公司一季度实现营收2.15亿元,同比增长11.70%。公司主要产品覆盖电子焊接类设备、智能机器视觉检测设备、光电平板(TP/LCD/OLED)显示模组生产专用设备。据悉,在此次官宣与海思达成战略合作之前,劲拓股份在半导体热工领域已经实现一定的积累。7月5日,劲拓股份在深交所互动易回复投资者提问时曾表示,公司开发半导体热工相关设备已有很长一段时间,因受保密协议限制且未达到披露标准,未予披露。目前部分半导体热工设备已上线并批量交货。值得关注的是,近日,劲拓股份刚刚完成了董事长的更换,并披露了董监高增持计划。6月28日晚间,劲拓股份公告,公司董事会同意选举徐德勇为公司第四届董事会董事长,补选徐德勇为第四届董事会战略委员会主任委员和召集人。根据《公司章程》等相关规定,董事长为公司法定代表人,公司法定代表人将变更为徐德勇。6月28日晚间,劲拓股份公告称,公司董监高计划自6个月内通过二级市场集中竞价或相关法律法规允许的其他方式增持公司股份,增持金额合计不少于1000万元,不高于2000万元,增持计划价格区间为不高于22元/股。
  • 半导体封装技术盘点
    封装,简而言之就是把晶圆厂(Foundry)生产出来的集成电路裸片(Die)放到一块起承载作用的基板上,用引线将Die上的集成电路与管脚互连,再把管脚引出来,然后固定包装成为一个整体。它可以起到保护芯片的作用,相当于是芯片的外壳,不仅能固定、密封芯片,还能增强其电热性能。半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。塑封之后,还要进行一系列操作,如后固化(Post Mold Cure)、切筋和成型(Trim&Form)、电镀(Plating)以及打印等工艺。典型的封装工艺流程为:划片、装片、键合、塑封、去飞边、电镀、打印、切筋和成型、外观检查、成品测试、包装出货。集成电路产业链包括集成电路设计、集成电路晶圆制造、芯片封装和测试、设备和材料行业。芯片封装测试环节是指芯片制造工艺完成后的封装测试环节,传统封装方式包括DIP、SOP、QFP等。先进封装是相较于传统封装而言,随着电子产品进一步朝向小型化与多功能的发展,芯片尺寸越来越小,种类越来越多等,使得三维立体(3D)封装、扇形封装(FOWLP/PLP)、微间距焊线技术,以及系统封装(SiP)等先进封装技术成为延续摩尔定律的最佳选择之一。基于此,仪器信息网对各种封装技术进行了盘点,以飨读者。DIP双列直插式封装DIP(DualIn-line Package)是指采用双列直插形式封装的集成电路芯片,绝大多数中小规模集成电路(IC)均采用这种封装形式,其引脚数一般不超过100个。采用DIP封装的CPU芯片有两排引脚,需要插入到具有DIP结构的芯片插座上。当然,也可以直接插在有相同焊孔数和几何排列的电路板上进行焊接。DIP封装的芯片在从芯片插座上插拔时应特别小心,以免损坏引脚。DIP封装具有以下特点:1.适合在PCB(印刷电路板)上穿孔焊接,操作方便;2.芯片面积与封装面积之间的比值较大,故体积也较大;Intel系列CPU中8088就采用这种封装形式,缓存(Cache)和早期的内存芯片也是这种封装形式。BGA封装随着集成电路技术的发展,对集成电路的封装要求更加严格。这是因为封装技术关系到产品的功能性,当IC的频率超过100MHz时,传统封装方式可能会产生所谓的“CrossTalk”现象,而且当IC的管脚数大于208 Pin时,传统的封装方式有其困难度。因此,除使用QFP封装方式外,现今大多数的高脚数芯片(如图形芯片与芯片组等)皆转而使用BGA(Ball Grid Array Package)封装技术。BGA一出现便成为CPU、主板上南/北桥芯片等高密度、高性能、多引脚封装的最佳选择。BGA封装技术又可详分为五大类:1.PBGA(Plasric BGA)基板:一般为2-4层有机材料构成的多层板。Intel系列CPU中,Pentium II、III、IV处理器均采用这种封装形式;2.CBGA(CeramicBGA)基板:即陶瓷基板,芯片与基板间的电气连接通常采用倒装芯片(FlipChip,简称FC)的安装方式。Intel系列CPU中,Pentium I、II、Pentium Pro处理器均采用过这种封装形式;3.FCBGA(FilpChipBGA)基板:硬质多层基板;4.TBGA(TapeBGA)基板:基板为带状软质的1-2层PCB电路板;5.CDPBGA(Carity Down PBGA)基板:指封装中央有方型低陷的芯片区(又称空腔区)。BGA封装具有以下特点:1.I/O引脚数虽然增多,但引脚之间的距离远大于QFP封装方式,提高了成品率;2.虽然BGA的功耗增加,但由于采用的是可控塌陷芯片法焊接,从而可以改善电热性能;3.信号传输延迟小,适应频率大大提高;4.组装可用共面焊接,可靠性大大提高。BGA封装方式经过十多年的发展已经进入实用化阶段。1987年,日本西铁城(Citizen)公司开始着手研制塑封球栅面阵列封装的芯片(即BGA)。而后,摩托罗拉、康柏等公司也随即加入到开发BGA的行列。1993年,摩托罗拉率先将BGA应用于移动电话。同年,康柏公司也在工作站、PC电脑上加以应用。直到五六年前,Intel公司在电脑CPU中(即奔腾II、奔腾III、奔腾IV等),以及芯片组(如i850)中开始使用BGA,这对BGA应用领域扩展发挥了推波助澜的作用。BGA已成为极其热门的IC封装技术,其全球市场规模在2000年为12亿块,预计2005年市场需求将比2000年有70%以上幅度的增长。QFP塑料方型扁平式封装QFP(Plastic Quad Flat Package)封装的芯片引脚之间距离很小,管脚很细,一般大规模或超大型集成电路都采用这种封装形式,其引脚数一般在100个以上。用这种形式封装的芯片必须采用SMD(表面安装设备技术)将芯片与主板焊接起来。采用SMD安装的芯片不必在主板上打孔,一般在主板表面上有设计好的相应管脚的焊点。将芯片各脚对准相应的焊点,即可实现与主板的焊接。用这种方法焊上去的芯片,如果不用专用工具是很难拆卸下来的。PFP塑料扁平组件式封装PFP(Plastic Flat Package)方式封装的芯片与QFP方式基本相同。唯一的区别是QFP一般为正方形,而PFP既可以是正方形,也可以是长方形。QFP/PFP封装具有以下特点:1.适用于SMD表面安装技术在PCB电路板上安装布线。2.适合高频使用。3.操作方便,可靠性高。4.芯片面积与封装面积之间的比值较小。Intel系列CPU中80286、80386和某些486主板采用这种封装形式。PGA插针网格阵列封装PGA(Pin Grid Array Package)芯片封装形式在芯片的内外有多个方阵形的插针,每个方阵形插针沿芯片的四周间隔一定距离排列。根据引脚数目的多少,可以围成2-5圈。安装时,将芯片插入专门的PGA插座。为使CPU能够更方便地安装和拆卸,从486芯片开始,出现一种名为ZIF的CPU插座,专门用来满足PGA封装的CPU在安装和拆卸上的要求。PGA封装具有以下特点:1.插拔操作更方便,可靠性高;2.可适应更高的频率。Intel系列CPU中,80486和Pentium、Pentium Pro均采用这种封装形式。芯片级(CSP)封装随着全球电子产品个性化、轻巧化的需求蔚为风潮,封装技术已进步到CSP(Chip Size Package)。它减小了芯片封装外形的尺寸,做到裸芯片尺寸有多大,封装尺寸就有多大。即封装后的IC尺寸边长不大于芯片的1.2倍,IC面积只比晶粒(Die)大不超过1.4倍。CSP封装又可分为四类:1.Lead Frame Type(传统导线架形式),代表厂商有富士通、日立、Rohm、高士达(Goldstar)等等;2.Rigid Interposer Type(硬质内插板型),代表厂商有摩托罗拉、索尼、东芝、松下等等;3.Flexible Interposer Type(软质内插板型),其中最有名的是Tessera公司的microBGA,CTS的sim-BGA也采用相同的原理。其他代表厂商包括通用电气(GE)和NEC;4.Wafer Level Package(晶圆尺寸封装):有别于传统的单一芯片封装方式,WLCSP是将整片晶圆切割为一颗颗的单一芯片,它号称是封装技术的未来主流,已投入研发的厂商包括FCT、Aptos、卡西欧、EPIC、富士通、三菱电子等。CSP封装具有以下特点:1.满足了芯片I/O引脚不断增加的需要;2.芯片面积与封装面积之间的比值很小;3.极大地缩短延迟时间。CSP封装适用于脚数少的IC,如内存条和便携电子产品。未来则将大量应用在信息家电(IA)、数字电视(DTV)、电子书(E-Book)、无线网络WLAN/GigabitEthemet、ADSL/手机芯片、蓝牙(Bluetooth)等新兴产品中。堆叠封装芯片堆叠封装主要强调用于堆叠的基本“元素”是晶圆切片。多芯片封装、堆叠芯片尺寸封装、超薄堆叠芯片尺寸封装等均属于芯片堆叠封装的范畴。芯片堆叠封装技术优势在于采用减薄后的晶圆切片可使封装的高度更低。堆叠封装有两种不同的表现形式,即PoP堆叠(Package on Package,PoP)和PiP堆叠(Package in Package Stacking,PiP)。PoP堆叠使用经过完整测试且封装完整的芯片,其制作方式是将完整的单芯片或堆叠芯片堆叠到另外一片完整单芯片或堆叠芯片的上部。其优势在于参与堆叠的基本“元素”为成品芯片,所以该技术理论上可将符合堆叠要求的任意芯片进行堆叠。PiP堆叠使用经过简单测试的内部堆叠模块和基本组装封装作为基本堆叠模块,但受限于内部堆叠模块和基本组装封装的低良率,PiP堆叠成品良率较差。但PiP的优势也十分明显,即在堆叠中可使用焊接工艺实现堆叠连接,成本较为低廉。PoP封装外形高度高于PiP封装,但是装配前各个器件可以单独完整测试,封装后的成品良率较好。堆叠封装技术中封装后成品体积最小的应属3D封装技术。3D封装可以在更小,更薄的封装壳内封装更多的芯片。按照结构3D封装可分为芯片堆叠封装和封装堆叠封装。晶圆级封装(WLP)在传统晶圆封装中,是将成品晶圆切割成单个芯片,然后再进行黏合封装。不同于传统封装工艺,晶圆级封装是在芯片还在晶圆上的时候就对芯片进行封装,保护层可以黏接在晶圆的顶部或底部,然后连接电路,再将晶圆切成单个芯片。相比于传统封装,晶圆级封装具有以下优点:1、封装尺寸小:由于没有引线、键合和塑胶工艺,封装无需向芯片外扩展,使得WLP的封装尺寸几乎等于芯片尺寸。2、高传输速度:与传统金属引线产品相比,WLP一般有较短的连接线路,在高效能要求如高频下,会有较好的表现。3、高密度连接:WLP可运用数组式连接,芯片和电路板之间连接不限制于芯片四周,提高单位面积的连接密度。4、生产周期短:WLP从芯片制造到、封装到成品的整个过程中,中间环节大大减少,生产效率高,周期缩短很多。5、工艺成本低:WLP是在硅片层面上完成封装测试的,以批量化的生产方式达到成本最小化的目标。WLP的成本取决于每个硅片上合格芯片的数量,芯片设计尺寸减小和硅片尺寸增大的发展趋势使得单个器件封装的成本相应地减少。WLP可充分利用晶圆制造设备,生产设施费用低。2.5D/3D先进封装集成工艺新兴的2.5D和3D技术有望扩展到倒装芯片和晶圆级封装工艺中。通过使用硅中介层(Interposers)和硅通孔(TSV)技术,可以将多个芯片进行垂直堆叠。TSV堆叠技术实现了在不增加IC平面尺寸的情况下,融合更多的功能到IC中,允许将更大量的功能封装到IC中而不必增加其平面尺寸,并且硅中介层用于缩短通过集成电路中的一些关键电通路来实现更快的输入和输出。因此,使用先进封装技术封装的应用处理器和内存芯片将比使用旧技术封装的芯片小约30%或40%,比使用旧技术封装的芯片快2~3倍,并且可以节省高达40%或者更多的功率。2.5D和3D技术的复杂性以及生产这些芯片的IC制造商(Fab)和外包封装/测试厂商的经济性意味着IDM和代工厂仍需要处理前端工作,而外包封装/测试厂商仍然最适合处理后端过程,比如通过露出、凸点、堆叠和测试。外包封装/测试厂商的工艺与生产主要依赖于内插件的制造,这是一种对技术要求较低的成本敏感型工艺。三维封装可以更高效地利用硅片,达到更高的“硅片效率”。硅片效率是指堆叠中的总基板面积与占地面积的比率。因此,与其他2D封装技术相比,3D技术的硅效率超过了100%。而在延迟方面,需要通过缩短互连长度来减少互连相关的寄生电容和电感,从而来减少信号传播延迟。而在3D技术中,电子元件相互靠得很近,所以延迟会更少。相类似,3D技术在降低噪声和降低功耗方面的作用在于减少互连长度,从而减少相关寄生效应,从而转化为性能改进,并更大程度的降低成本。此外,采用3D技术在降低功耗的同时,可以使3D器件以更高的频率运行,而3D器件的寄生效应、尺寸和噪声的降低可实现更高的每秒转换速率,从而提高整体系统性能。3D集成技术作为2010年以来得到重点关注和广泛应用的封装技术,通过用3D设备取代单芯片封装,可以实现相当大的尺寸和重量降低。这些减少量的大小部分取决于垂直互连密度和可获取性(accessibility)和热特性等。据报道,与传统封装相比,使用3D技术可以实现40~50倍的尺寸和重量减少。系统级封装SiP技术SiP(System in Package,系统级封装)为一种封装的概念,是将一个系统或子系统的全部或大部分电子功能配置在整合型基板内,而芯片以2D、3D的方式接合到整合型基板的封装方式。SiP不仅可以组装多个芯片,还可以作为一个专门的处理器、DRAM、快闪存储器与被动元件结合电阻器和电容器、连接器、天线等,全部安装在同一基板上上。这意味着,一个完整的功能单位可以建在一个多芯片封装,因此,需要添加少量的外部元件,使其工作。SIP封装并无一定型态,就芯片的排列方式而言,SIP可为多芯片模块(Multi-chipModule;MCM)的平面式2D封装,也可再利用3D封装的结构,以有效缩减封装面积;而其内部接合技术可以是单纯的打线接合(WireBonding),亦可使用覆晶接合(FlipChip),但也可二者混用。除了2D与3D的封装结构外,另一种以多功能性基板整合组件的方式,也可纳入SIP的涵盖范围。此技术主要是将不同组件内藏于多功能基板中,亦可视为是SIP的概念,达到功能整合的目的。不同的芯片排列方式,与不同的内部接合技术搭配,使SIP的封装型态产生多样化的组合,并可依照客户或产品的需求加以客制化或弹性生产。近年来随着摩尔定律逐渐逼近物理极限,先进封装技术越来越受到半导体行业的关注,成为行业的研究热点,基于此,仪器信息网联合电子工业出版社特在“半导体工艺与检测技术”主题网络研讨会上设置了“封装及其检测技术”,众多行业大咖将详谈封装工艺与技术。主办单位: 仪器信息网 电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)点击下方图片或会议官网报名即可
  • 联动科技创业板上市,拟扩建半导体封装测试设备产品线
    9月22日,佛山市联动科技股份有限公司(简称:联动科技)成功登陆创业板。公司本次公开发行股票1160.0045万股,占发行后总股本的比例为25.00%。本次募集资金项目包括半导体封装测试设备产业化扩产建设项目、半导体封装测试设备研发中心建设项目、营销服务网络建设项目、补充营运资金。其中半导体封装测试设备产业化扩产建设项目达产后将具备年产1180台/套半导体自动化测试系统和 340 台/套激光打标及其他机电一体化设备的生产能力。联动科技成立于1998年,专注于半导体行业后道封装测试领域专用设备的研发、生产和销售,主要产品包括半导体自动化测试系统、激光打标设备及其他机电一体化设备。据招股书披露,半导体自动化测试系统主要用于检测晶圆以及芯片的功能和性能参数,包括半导体分立器件(功率半导体分立器件和小信号分立器件)的测试、模拟类及数模混合信号类集成电路的测试,广泛应用于半导体产业链从设计到封测的主要环节,包括芯片设计验证、晶圆制造中的晶圆检测和封装完成后的成品测试;激光打标设备主要用于半导体芯片的打标,应用于半导体后道封装环节。招股书显示,联动科技自成立以来,一直坚持自主创新,旗下产品填补国内技术空白。在集成电路测试领域,公司 QT-8200 系列产品是国内少数能满足Wafer level CSP(晶圆级封装)芯片量产测试要求的数模混合信号测试系统之一,能提供高质量的系统对接和测试信号,具备256工位以上的并行测试能力和高达 100MHz 的数字测试能力,产品性能和指标与同类进口设备相当。在功率半导体分立器件测试领域,公司近年来推出的 QT-4000 系列功率器件综合测试平台,能满足高压源、超大电流源等级的功率器件测试要求,测试功能涵盖直流及交流测试并能够进行多工位测试的数据合并,包括但不限于直流参数测试(DC)、热阻(TR)、雪崩(EAS)、RG/CG(LCR)、开关时间(SW)、 二极管反向恢复时间(TRR)、栅极电荷测试(Qg)以及浪涌测试等,是目前国内功率器件测试能力和功能模块覆盖面最广的供应商之一。在小信号分立器件测试领域,公司旗下 QT-6000 系列产品是国内较早实现自主研发、生产的高速分立器件测试系统之一,能够满足小信号器件多工位并行测试要求,具有较高的测试效率。QT-6000 系列产品的测试的 UPH 值可达 60k,达到国际先进水平。联动科技深耕半导体后道封装测试专用设备领域 20 余年,目前在国内半导体分立器件测试系统市场占有率在20%以上。在模拟及数模混合集成电路测试领域的市场开拓情况良好,2019年-2021年营业收入分别为1.48亿元、2.02亿元、3.44亿元,实现净利润分别为3174.01万、6076.28万、1.28亿,保持较快增长。近年来随着摩尔定律逐渐逼近物理极限,先进封装技术越来越受到半导体行业的关注,成为行业的研究热点,基于此,仪器信息网联合电子工业出版社特在“半导体工艺与检测技术”主题网络研讨会上设置了“封装及其检测技术”,众多行业大咖将详谈封装工艺与技术。主办单位:仪器信息网电子工业出版社直播平台:仪器信息网网络讲堂平台会议官网:https://www.instrument.com.cn/webinar/meetings/semiconductor20220920/会议形式:线上直播,免费报名参会(报名入口见会议官网或点击上方图片)点击图片免费报名抢位
  • 前沿科技 | 半导体先进封装,其实离我们不遥远
    如果列举一下当代智能手机的几大前沿技术,那么屏幕下指纹识别一定在列。之所以这样笃定,是因为它不仅带来了全新的交互解锁方式,更是手机迈向「全面屏」时代的一次重大技术飞跃。或许你会说,苹果的Face ID人脸识别解锁方式不也同样“真香”吗?但此类方案不可避免的要保留住“刘海”。所以,包括苹果在内,将来手机的发展方向,一定是「真」全面屏的时代,或许在不远的未来,我们可以看到更富有科技感的屏幕下摄像头的技术方案。那么大家有没有想过,是什么促使近些年手机发展的这么迅速?除了半导体制造工艺的改进,我想,更重要的原因,是以WLP(晶圆级封装)和TSVs(硅通孔)为代表的先进封装技术的应用。这些所谓先进封装技术究竟是什么意思?对我们的日常生活有什么影响?在这里小编先卖个关子,想要说清这个问题,还需要从半导体制造和封装技术的起源和演变说起。摩尔定律:半导体工艺的基础1965年,时任仙童半导体公司的Gordon Moore在《Electronics》杂志上第一次提出,一块芯片上集成的晶体管和其他元器件的数量,当价格不变时,约每隔18-24个月便会增加一倍,性能也将提升一倍,这就是著名的摩尔定律。随后便是50多年的工艺提升,半导体的制程技术,在摩尔定律的加持下,呈现指数增长的态势,凭借光刻技术的发展,从上世纪80年代还是微米量级的制程水准,迸发到如今英特尔和台积电可以量产的7nm时代,甚至计划在2025年的3nm工艺,进步可谓“触目惊心”,然而,这种状态不可能无穷无尽下去,普遍认为在7nm技术节点后,摩尔定律将迎来失效… … 摩尔定律的失效:半导体制造技术的瓶颈让我们想象一下,在标准的8人百米跑道上,大家可以相安无事的相互角逐,但如果这个跑道宽度没有变化,而人数增加了,变成了16个人,此时还能够大幅摇摆,没有相互影响吗?ok,你说运动员身体宽度太大,换成小孩子不就可以了吗?那这个人数变成了32、64… 呢?无论是谁在比赛跑道上,当数量增加到一定程度,而跑道宽度没变,甚至还需要缩小的时候,总要有个物理极限,在这个极限,就是摩尔定律失效的主要原因之一。纵使技术上能够实现,芯片内集成电路的两条导线也不可能无限接近。因为两个导线的距离过近会导致「量子跃迁」,也就是说,一条导线上的电子会越过中间的绝缘体跑到另一条导线上,造成电路失效。从另一个维度来看,摩尔定律难以维系的重要原因,是纳米芯片制造的资金壁垒高的离谱,一条28nm工艺制程芯片生产线的投资额大约是50亿美元,20nm的高达100亿美元,随着制程工艺升级换代,生产线投资呈几何级飙升,单单是一台极紫外光刻机(EUV)的售价,就将近10亿元人民币。后摩尔定律时代:新技术路线的开拓单纯地减小晶体管(MOS)尺寸,在技术和成本上实现的难度非常高,但是,延续摩尔定律并不是只有一条路可以走。以3D封装为代表的先进封装技术,在不缩减工艺尺寸的前提下,增加了chip(器件单元)集成度从而提升性能并缩减成本,这种技术路线被称为新摩尔定律(More than Moore)。举个例子,传统封装先将晶圆Wafer切割成小的单元Chip,然后再逐个封装;而新的WLP晶圆级封装(Wafer-Level Package)是在整片晶圆上进行封装和测试,然后再切割成一个个的IC Chip。相比于传统封装,新的WLP封装流程有着肉眼可见的优势:① 省去了引线键合,封装后的体积即等同IC裸晶的原尺寸,Wafer面积不变,可同时封装更多的芯片,提升了集成度;②减少了测试和封装工序,有效地降低了成本;③降低芯片的贴装高度,跟进了数码产品日益变薄的需求。 * 晶圆级封装(WLP)流程(Brewer science官网)其实,上述的例子与我们消费者并不遥远,有感于近些年手机等数码产品的性价比的提升,封装成本的降低功不可没;如果说,有哪种封装技术的进步,是与我们息息相关的,毫无疑问的要属TSVs(硅通孔)封装形式的开发和应用。TSV封装技术及其失效分析在三维封装中,封装形式逐渐由Wire bonding转向TSVs,技术的革新,突出的外化表现是手机指纹解锁方式的改变,即iPhone 5s为代表的电容式Home键指纹解锁,转向安卓全面屏手机的屏下指纹解锁。上图中,是iPhone 5s为代表的电容式指纹解锁,采用Wire bonding式3D封装,表面开孔,手指与盖板(玻璃、蓝宝石、陶瓷)直接接触,而在芯片一端,需要进行塑封处理,将金属引线掩埋,形成平整的表面。其原理是依据指纹在盖板上按压时,会形成高低不平(肉眼不可见),这时候传感器会记下指纹的形状,以供日后解锁使用。 然而,随着智能手机向「厚度更薄、屏占比更高」的方向发展,wire bonding封装方式的缺点逐渐凸显:键合线容易造成短路,虚焊、脱焊等封装不良问题,塑封处理导致芯片无法进一步变薄,最致命的,如果把这种封装芯片放在屏幕下方,隔着一层屏幕模组会导致传感器收集不到足够的指纹信号,无法顺利完成解锁。好在TSV新型封装的出现解开了这种困局,所谓TSV,又称硅通孔,指的是在芯片3D晶圆级封装的基础上,在芯片间或晶圆间制作垂直通道,实现芯片间的垂直互联,具有高密度集成、电性能提升等优点。 目前市面上的主流手机,几乎清一色的采用了OLED和AMOLED屏幕,除了苹果,均采用了屏幕下指纹解锁技术,而OLED屏幕面板能够「霸屏」全面屏的旗舰机,其成功是离不开TSV封装的。所谓的OLED,其工作原理是利用了光的折射和反射,当手指按压屏幕时,OLED面板的每个像素点能够自主发光,照亮指纹的反射光线透过OLED层像素的间隙返回到紧贴于屏下的传感器芯片上,获取的指纹图像与手机初次录入的图像进行对比,最后进行识别判断,完成解锁。OLED能够顺利完成解锁,依据的就是下方传感器能够无衰减的接受反射信号,试想一下,如果在芯片表面盖了一层盖子(塑封胶体),识别率会大打折扣,所以,TSV结构是完成该解锁技术的关键。除此之外,TSV封装还可以有效的减小封装厚度,顺应了数码产品变薄的潮流:三星电子在2006年成功将TSV技术应用在晶圆级堆叠封装16Gb NAND闪存芯片中,将系统厚度减薄了160μm。系统集成度越高,相应的失效问题越多,失效分析的难度也就越高,TSV也不例外。传统的Wire bonding堆叠,失效多集中在键合线和焊点处,相比于TSV封装,更加的「宏观化」,而TSV结构更微观,并且大量的失效不良,多集中在内部通孔,对技术人员和检测设备都提出了更高的要求。TSV内部通孔需要电镀Cu,而Cu的生长过程是自下而上进行的,并且生长过程所需要的促进剂和抑制剂消耗不均匀,通常抑制剂在底部先消耗,于是底部的促进剂发挥主要作用;再由于有机物的抑制剂中,高浓度的Cl、N、O杂质元素大量分布在晶界上,通过钉扎效应(Zener pinning)对晶粒的自由生长起进一步的抑制作用,导致顶部的Cu晶粒较小,最终在通孔内部形成了内应力,导致裂纹、胀出等不良现象。 * TSV通孔内部晶粒尺寸对比 & 空洞、裂纹、填充缺失典型缺陷结语 & 后续预告半导体先进封装技术的迅猛发展惠及了我们的日常生活,然而对于半导体的从业者,这一切来的并不容易,先进且更复杂的结构拔高了不良分析的门槛值,文章中列举的案例都是通过大面积截面抛光,再辅以SEM观察,而在更多的失效分析中,通常是需要利用FIB进行某(数)个TSV孔进行定点切割分析,所以在半导体封装产线高时效性要求的背景下,从制样到成像的分析效率就显得格外重要,众所周知,FIB是定点分析的利器,但效率不高也是普遍存在的通病,所以,后续内容中,我们会介绍一款超高效率的激光刻蚀设备microPREP,辅助FIB,可以显著缩短整个失效分析的周期,敬请期待!参考文献:[1] T.Frank, S.Moreau, C.Chappaz, L.Arnaud, P.Leduc, A.Thuaire. Electromigration behavior of 3D-IC TSV interconnects[C]. 2012 IEEE and Electronic Components and Technology Conference (ECTC), 2012, 326-330.[2] 程万. 高深比的TSV电镀铜填充技术研究. 中国科学院大学,2017[3] KANG U, CHUNG H J, HEO S, PARK D H, LEE H, KIM J H, LEE J W. 8 Gb 3-D DDR3 DRAM using through-silicon-via technology[J]. IEEE Journal of Solid-State Circuits, 2010, 45(1): 111-119.[4] OKORO C, LABIE R, VANSTREELS K, FRANQUET A, GONZALEZ M, VANDEVELDE B, VERLINDEN B. Impact of the electrodeposition chemistry used for TSV filling on the microstructural and thermo-mechanical response of Cu[J]. Journal of Materials Science, 2011, 46(11): 3868-3882.
  • 泰研半导体完成数千万元A轮融资,专注先进封装半导体设备国产化
    近日,深圳泰研半导体装备有限公司(以下简称“泰研半导体”)获得合创资本投资的数千万元A轮融资,本轮资金将主要用于产品扩产和交付。泰研半导体是先进封装领域的半导体工艺与设备服务商,可为客户提供SiP、 Fanout、 Chiplet、 3D等先进封装产线上 Laser(激光) + Plasma(等离子) + Sputter(镀膜)成套复合工艺与制程应用设备。中美贸易卡脖子情境之下,中国政府大力支持半导体设备国产化发展。在政策及资本的协同助力下,半导体制造商建厂热潮高涨,本土foundry、存储IDM大规模扩产,推动设备市场扩大。中国半导体设备市场的持续增长,及国产替代趋势的加速推进为中国半导体设备厂商提供了巨大的发展空间。根据SEMI数据,2021年半导体设备的全球销售额同比增长45%,增至1030亿美元,创历史新高。传统封装设备市场主要以美日韩三国企业为主导,中国在部分半导体工艺节点的设备供应上尚有性价比不错的供应商,但在高端工艺、先进工艺领域,中国的半导体设备供应能力略显不足。在半导体封装领域,先进封装工艺和传统封装工艺有所不同,先进封装在国内外都处于起步阶段,对于中国来说,面向先进封装的半导体设备具有快速发展的潜力。伴随着半导体工艺越来越逼近物理极限,行业开始探索通过先进封装来提高产品性能、改善产品工艺。据CSIA封装分会2020年报告,国内先进封装产线设备国产化率高达20%-50%以上,国产化率整体高于传统封装产线。目前,泰研半导体有着溅镀设备、激光设备、等离子设备三种类型的封装设备。⭕溅镀设备:在生产大尺寸产品上具备较大优势,可以通过镀膜工艺实现散热、RDL、EMI等功能。泰研拥有自主研发的腔体独立制冷系统、高散热系统、等离子体预处理系统等方面的核心设计能力和批量生产工艺,凭借这些核心能力,泰研的溅镀设备在实施EMI功能时能达到业界领先的高超水平,具体来说其侧壁覆盖率能够达到70%以上,而业内指标普遍在40%左右。⭕激光设备:可为客户提供芯片表面激光打码/读码、芯片切割开槽、3D封装激光钻孔等服务,泰研的激光设备集成了标记与AOI检测,可兼容SECS GEM(SEMI连接性标准E30,可用于设备的通讯和控制)和 RMS(半导体封测设备RMS系统),能提供自有IP的标记、检测、控制一体化软件,且通过创新的光路设计保证高精度和高稳定性。⭕等离子设备:具备基板和晶圆电浆清洗、光刻胶孔渣清洗、RDL线路蚀刻、RMC干蚀刻减薄、WPC等离子晶圆切割等功能,该设备的减薄工艺可以做到翘曲度非常小,能增强封装安全可靠性。半导体生产设备直接影响着半导体产品的最终质量,是整个生产过程中最为核心最为重要的因素。而下游封装厂考虑到自身生产的稳定性和持续性,更倾向于选择具有一定生产规模和知名度的供应商。因此,对于早期的半导体设备供应商来说,进入下游客户的壁垒非常高。半导体设备从产品零部件的设计,到自动入料系统的方向如何与产线上其他产品相匹配等各种细微环节的背后需要大量的行业认知和积累。泰研创始人张少波表示,“在激光标记领域,国内有较多的竞争者,但鲜少有能销售进入到国际顶尖半导体公司的设备企业,而泰研就是其中之一。”泰研的设备通过了包括欧洲工业车规芯片巨头在内的国际客户的严苛认证,符合技术规格要求,产品性能和质量均达到国际领先水平,并且已经开始对外批量供货,这标志着泰研成功打破了半导体设备行业的下游准入壁垒。除此之外,相比传统半导体设备供应商只集中在某几种半导体设备,泰研能够为下游客户提供先进封装产线全套设备的方案规划,帮助客户减少产品配套流程。泰研的这种能力,得益于其优秀的工艺设计能力和行业的深厚积累,目前泰研已将此方案规划业务在多家先进封装工艺的封装厂中开展。泰研半导体目前拥有1500平的工厂,预计本轮融资结束后将开始批量生产。合创资本副总裁刘华瑞博士表示,产业界普遍认为先进封装是目前半导体制造工艺达到物理极限后继续提升芯片功能性能的路径,作为支撑国内先进封装产业发展的坚实上游,泰研半导体的设备产品体系完备,涵盖先进封装产业多个细分领域,泰研团队拥有出众的先进封装工艺设计能力,能够充分发挥自身优势,为国产半导体设备产业发展及国产替代战略落地贡献更多力量。
  • 盘点|半导体封装测试国标及相关仪器概览
    p style="text-indent:2em"8月4日,国务院印发了《新时期促进集成电路产业和软件产业高质量发展的若干政策》。《若干政策》表现出国务院对半导体产业的密切关注和重视。集成电路主要由设计、制造以及封测三大板块组成。2017年,中国集成电路这三块的营收占比分别为38.3%、26.8%、34.9%。相比世界IC产业三业合理占比3:4:3,我国封测行业占比偏高,表明我国封测产业相对先进。/pp style="text-indent:2em"未来随着物联网、智能终端等新兴领域的迅猛发展,先进封装产品的市场需求将会获得明显增强。据统计,我国封测产业规模从2004年的282.60亿元快速增长至2018年的2193.90亿元。2019年,我国封装测试行业市场规模将近2500亿元,预计2020年将超过2800亿元。随着半导体行业进入成熟期,我国晶圆厂的建设迎来高峰,将带动下游封测市场的发展。为规范半导体的封装测试,我国出台了大量的相关标准。/ph3一、封装材料标准/h3p style="text-indent:2em"绝大多数封装采用塑料封装,原材料主要是树脂,其他还会用到金属引线和金属引脚。高端的封装如陶瓷封装,原材料主要是陶瓷,包括基板和管壳,内部也会有金属引线和填充物。对于半导体封装材料,我国制定了相应的国家标准对其进行测定。/ppimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202008/uepic/7fccdbc5-a8f9-4615-9dab-084fc3927b6d.jpg" title="表1.png" alt="表1.png"//ppbr//ph3二、封装外形标准/h3p style="text-indent:2em"半导体器件有许多封装形式,按封装的外形、尺寸、结构分类可分为引脚插入型、表面贴装型和高级封装三类。从DIP、SOP、QFP、PGA、BGA到CSP再到SIP,技术指标一代比一代先进,对于半导体封装的机械外形,我国也有相应的标准规范。/ppimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202008/uepic/39c737df-076d-484b-846d-bfd9c29c5588.jpg" title="表2.png" alt="表2.png"//ph3三、封装后性能测试标准/h3p style="text-indent:2em"封装结束后,还需要对半导体器件的各方面性能进行测试。为了规范半导体的封装后的测试,我国推出了一系列的相关标准。如下表所示/ppimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202008/uepic/4f6a6b6d-8588-4798-b9e5-9e2fcfd00f21.jpg" title="表3.png" alt="表3.png"//ph3四、其他封装测试相关标准等/h3p style="text-indent:2em"此外,为了方便半导体集成电路封装相关的生产、科研、教学和贸易等,对于封装测试中的各种名称术语,甚至厂房建设等也都有相关联的标准,/ppimg style="max-width:100% max-height:100% " src="https://img1.17img.cn/17img/images/202008/uepic/d026d0c2-0bbb-4a11-9e8b-30bbb832401c.jpg" title="表4.png" alt="表4.png"//pp style="text-indent: 2em "相关国家标准的发布实施,对半导体封装行业有重要的引导作用,规范了相关行业,也提升了我国封测行业的竞争力。/p
  • 半导体封装材料的性能评估和热失效分析
    前言芯片封装的主要目的是为了保护芯片,使芯片免受苛刻环境和机械的影响,并让芯片电极和外界电路实现连通,如此才能实现其预先设计的功能。常用的一种封装技术是包封或密封,通常采用低温的聚合物来实现。例如,导电环氧银胶用于芯片和基板的粘接,环氧塑封料用于芯片的模塑封,以及底部填充胶用于倒装焊芯片与基板间的填充等。主要的封装材料、工艺方法及特性如图1所示。包封必须满足一定的机械、热以及化学特性要求,不然直接影响封装效果以及整个器件的可靠性。流动和粘附性是任何包封材料都必须优化实现的两个主要物理特性。在特定温度范围内的热膨胀系数(CTE)、超出可靠性测试范围(-65℃至150℃)的玻璃化转变温度(Tg)对封装的牢固性至关重要。对于包封,以下要求都是必须的:包封材料的CTE和焊料的CTE比较接近以确保两者之间的低应力;在可靠性测试中,玻璃转化温度(Tg)能保证尺寸的稳定性;在热循环中,弹性模量不会导致大的应力;断裂伸长率大于1%;封装材料必须有低的吸湿性。但是,这些特性在某种类型的环氧树脂里并不同时具备。因此,包封用的环氧树脂是多种环氧的混合物。表1列出了倒装焊底部填充胶的一些重要的特性。随着对半导体器件的性能要求越来越高,对封装材料的要求同步提高,尤其是在湿气的环境下,性能评估和热失效分析更是至关重要,而这些都可以通过热分析技术给予准确测量,并可进一步用于工艺的CAE模拟仿真,帮助准确评估封装质量的优劣与否。表1 倒装焊中底部填充胶的性能要求[1]图1. 主要封装材料、工艺方法及特性[2]热性能检测梅特勒托利多全套热分析技术为半导体封装材料的性能评估和热失效分析提供全面、创新的解决方案。差示扫描量热仪DSC可以精准评估封装材料的Tg、固化度、熔点和Cp,并且结合行业内具有优势的动力学模块(非模型动力学MFK)可以高精准评估环氧胶的固化反应速率,从而为Moldex 3D模拟环氧塑封料、底部填充胶的流动特性提供可靠的数据。如图2所示,在非模型动力学的应用下,环氧胶在180℃下所预测的固化速率与实际测试曲线所表现出的固化行为具有非常高的一致性。热重TGA或同步热分析仪TGA/DSC可以准确测量封装材料的热分解温度,如失重1%时的温度,以及应用热分解动力学可以评估焊料在一定温度下的焊接时间。热机械分析仪TMA可以精准测量封装材料的热膨胀、固化时的热收缩、以及CTE和Tg,动态机械分析仪DMA提供封装材料准确的弹性模量、剪切模量、泊松比、断裂伸长率等力学数据,进一步可为Moldex 3D模拟芯片封装材料的翘曲和收缩提供可靠数据来源。图2. DSC结合非模型动力学评估环氧胶的固化反应速率检测难点1、 凝胶时间凝胶时间是Moldex 3D模拟环氧塑封料、底部填充胶流动特性的非常重要的数据来源之一。目前,行业内有多种测试凝胶时间的方法和设备。比如利用拉丝原理的凝胶时间测试仪,另有国家标准GB 12007.7-89环氧树脂凝胶时间测定方法[3],即利用标准柱塞在环氧树脂固化体系中往复运动受阻达到一个值而指示凝胶时间。但是,其对柱塞的形状和浮力要求较高,测试样品量也很大,仅适用于在试验温度下凝胶时间不小于5 min的环氧树脂固化体系,并且不适用于低于室温的树脂、高粘度树脂和有填料的体系。由此可见,现有测试方法都存在测试误差、硬件缺陷和测试范围有限等问题。梅特勒托利多创新性TMA/SDTA2+的DLTMA(动态载荷TMA)模式结合独家的负力技术可以准确测定凝胶时间。在常规TMA测试中,探针上施加的是恒定力,而在DLTMA模式中,探针上施加的是周期性力。如图3右上角插图所示,探针上施加的力随时间的变化关系,力在0.05N与-0.05N之间周期性变化,这里尤为关键的一点是,测试凝胶时间必须要使用负力,即不仅需要探针往下压,还需要探针能够自动向上抬起。图3所示案例为测试导电环氧银胶的凝胶时间,样品置于40μl铝坩埚内并事先固定在TMA石英支架平台上,采用直径为1.1 mm的平探针在恒定160℃条件下施加正负力交替变换测试。在未发生凝胶固化之前,探针不会被样品粘住,负力技术可使探针自由下压和抬起,测试的位移曲线表现出较大的位移变化。当发生交联固化,所施加的负力不足以将探针从样品中抬起,位移振幅突然减小为0,曲线成为一条直线。通过分析位移突变过程中的外推起始点即可得到凝胶时间。此外,固化后的环氧银胶片,可通过常规的TMA测试获得Tg以及玻璃化转变前后的CTE,如图3下方曲线所示。图3. 上图:TMA/SDTA2+的DLTMA模式结合负力技术准确测定凝胶时间. 下图:固化导电环氧银胶片的CTE和Tg测试.2、 弯曲弹性模量在热循环过程中,弹性模量不会导致过大的应力。封装材料在不同温度下的弹性模量可通过DMA直接测得。日本工业标准JIS C6481 5.17.2里要求使用弯曲模式对厚度小于0.5mm、跨距小于4mm、宽度为10mm的封装基板进行弯曲弹性模量测试。从DMA测试技巧角度来讲,如此小尺寸的样品应首选拉伸模式测试。弯曲模式在DMA中一共有三种,即三点弯曲、单悬臂和双悬臂,从样品的刚度及夹具的刚度和尺寸考虑,三点弯曲和双悬臂并不适合此类样品的测试。因此,单悬臂成为唯一的可能性,但考虑到单悬臂夹具尺寸和跨距小于4mm的要求,市面上大部分DMA难以满足此类测试。梅特勒托利多创新性DMA1另标配了单悬臂扩展夹具,可方便夹持小尺寸样品并能实现最小跨距为1mm的测试。图4为对厚度为40μm的基板分别进行x轴和y轴方向上的单悬臂测试,在跨距3.5mm、20Hz的频率下以10K/min的升温速率从25℃加热至350℃。从tan delta的出峰情况可以判断基板的Tg在241℃左右,以及在室温下的弯曲弹性模量高达12-13GPa。图4. DMA1单悬臂扩展夹具测试封装基板的弯曲弹性模量.3、 湿气对封装材料的影响湿气腐蚀是IC封装失效的主要原因,其降低了器件的性能和可靠性。保存在干燥环境下的封装环氧胶,完全固化后在高温和高湿气环境下也会吸湿发生水解,降低封装体的机械性能,无法有效保护内部的芯片。此外,焊球和底部填充环氧胶之间的粘附强度在湿气环境中放置一段时间后也会遭受破坏。水汽的吸收导致环氧胶的膨胀,并引起湿应力,这是引线连接失效的主要因素。通过湿热试验可以对封装材料的抗湿热老化性能进行系统的评估,进而对其进行改善,提升整体性能。通常是采用湿热老化箱进行处理,然后实施各项性能的评估。因此,亟需提供一种能够提高封装材料湿热老化测试效率的方法。梅特勒托利多TMA/SDTA2+和湿度发生器的联用方案,以及DMA1和湿度发生器的联用方案可以实现双85(85℃、85%RH)和60℃、90%RH的技术参数,这也是行业内此类湿度联用很难达到的技术指标。因此,可以原位在线环测封装材料在湿热条件下的尺寸稳定性和力学性能。图5. TMA/SDTA2+-湿度联用方案测试高填充环氧的尺寸变化.图5显示了TMA-湿度联用方案在不同湿热程序下高填充环氧的尺寸变化。湿热程序分别为20℃、60%RH、约350min,23℃、50%RH、约350min,30℃、30%RH、约350min,40℃、20%RH、约350min,60℃、10%RH、约350min,80℃、5%RH、约350min。可以看出,在60%的高湿环境下高填充环氧在350min内膨胀约0.016%,后续再降低湿度并升高温度,样品主要在温度的作用下发生较大的热膨胀。图6为DMA-湿度联用方案在双85的条件下评估PCB的机械性能的稳定性,测试时间为7天。可以看出,PCB在高湿热的环境下弹性模量有近似6%的变化,这与PCB的树脂材料发生吸湿后膨胀并引起湿应力是密不可分的,并且存在导致器件失效的风险。图6. DMA1-湿度联用方案测试PCB的弹性模量.4、 化学品质量对于封装结果的影响封装过程中会使用到各类的湿电子化学品,尤其是晶圆级封装等先进封装的工艺流程,对于清洗液、蚀刻液等材料的质量管控可以类比晶圆制造过程中的要求,同时针对不同工艺段的化学品浓度等配比都有所不同,因此如何控制使用的电子化学品质量对于封装工艺的效能有着重要的意义。下表展示了部分涉及到的化学品浓度检测的滴定检测方案,常规的酸碱滴定、氧化还原滴定可以基本满足对于单一品类化学品浓度的检测需求。指标电极滴定剂样品量85%H3PO4酸碱玻璃电极1mol/L NaOH0.5~1g96%H2SO4酸碱玻璃电极1mol/L NaOH0.5~1g70%HNO3酸碱玻璃电极1mol/L NaOH0.5~1g36%HCl酸碱玻璃电极1mol/L NaOH0.5~1g49%HF特殊耐HF酸碱电极1mol/L NaOH0.3~0.4gDHF(100:1)特殊耐HF酸碱电极1mol/L NaOH20-30g29%氨水酸碱玻璃电极1mol/L NaOH0.9~1.2gECP(acidity)酸碱玻璃电极1mol/L NaOH≈8g29%NH4OH酸碱玻璃电极1mol/L HCl0.5~1gCTS-100清洗液酸碱玻璃电极1mol/L NaOH≈1g表1. 部分化学品检测方法列表另一方面,对于刻蚀液等品类,常常会用到混酸等多种物质混配而成的化学品,以起到综合的反应效果,如何对于此类复杂的体系浓度进行检测,成为实际生产过程中比较大的挑战。梅特勒托利多自动电位滴定仪,针对不同的混合液制订不同的检测方案,如铝刻蚀液的硝酸/磷酸/醋酸混合液,在乙醇和丙二醇混合溶剂的作用下,采用非水酸碱电极针对不同酸液pKa的不同进行检测,得到以下图谱,一次滴定即可测定三种组分的含量。图7. 一种铝刻蚀液滴定曲线结论梅特勒托利多一直致力于帮助用户提高研发效率和质量控制,我们为半导体封装整个产业链提供完整专业的产品、应用解决方案和可靠服务。梅特勒托利多在半导体封装行业积累了大量经验和数据,希望我们的解决方案给半导体封装材料性能评估的工作者带来帮助。参考文献[1] Rao R. Tummala. 微系统封装基础. 15. 密封与包封基础 page 544-545.[2] Rao R. Tummala. 微系统封装基础. 18. 封装材料与工艺基础 page 641.[3] GB12007.7-89:环氧树脂凝胶时间测定方法.(梅特勒-托利多 供稿)
  • 多家半导体封测企业二季度业绩回暖 加速布局先进封装技术
    数据显示,截至8月29日,已有8家半导体封测企业发布半年报,其中6家上半年净利润同比下滑,包括3家亏损。  具体来看,半年报显示,华天科技上半年实现营业收入50.89亿元,同比下降18.19%;归属于上市公司股东的净利润6287.86万元,同比下降87.77%。长电科技实现营业收入121.73亿元,同比下降21.94%;归属于上市公司股东的净利润4.96亿元,同比下降67.89%。晶方科技实现营业收入约4.82亿元,同比下降22.34%;归属于上市公司股东的净利润7661.14万元,同比下降59.89%。  对于业绩下降的原因,某封测上市公司相关负责人告诉记者:“主要还是全球终端市场需求疲软,半导体行业处于下行周期,导致国内外客户需求下降,公司订单不饱满,产能利用率不足,从而导致盈利下滑。”  根据WSTS发布的最新数据,今年上半年全球半导体市场销售额同比下滑19.3%,预计2023年全球半导体市场规模将下降10.3%。  虽然全球半导体产业销售仍显疲软,但从今年3月份开始,半导体产业月度销售额触底回升,今年二季度销售额环比一季度增长4.7%。  从已经发布半年报的上市公司二季度净利润情况来看,也显现出业绩回暖迹象。同花顺iFinD数据显示,长电科技、颀中科技、汇成股份今年第二季度分别实现归属于上市公司股东的净利润3.86亿元、9160.91万元和5574.11万元,环比分别增长250.83%、199.25%和111.93%。华天科技第二季度实现归属于上市公司股东的净利润1.69亿元,一季度为-1.06亿元,环比实现扭亏。  上述负责人向记者表示:“进入二季度后,随着半导体行业逐步回暖,公司的订单也逐步恢复。”  “经历了近两年的下行周期,封测企业库存去化基本完成或接近尾声,部分品类价格和稼动率已经率先走出底部,逐渐进入触底回升阶段。”有不愿具名的券商分析师在接受记者采访时表示。  “预计从第三季度开始,随着更多的IC设计公司复苏,去库存完成结合新应用发力,国内工厂和国外工厂产能利用率将先后恢复,第三季度到第四季度将达到较为健康的状态。从产业趋势上看,涉及汽车电子业务以及具有先进封装能力的厂商在此轮复苏中将更具优势。”上述分析师向记者表示。  先进封装技术相比传统封装技术,能够在再布线层间距、封装垂直高度、I/O密度、芯片内电流通过距离等方面提供更多解决方案。目前,行业内已先后出现了Bumping、FC、WLCSP、2.5D、3D等先进封装技术。  全联并购公会信用管理委员会专家安光勇对记者表示:“先进封装技术在集成电路行业中具有重要地位,因为其可以提升芯片性能和功能密度。随着5G、人工智能、物联网、自动驾驶等应用场景的快速兴起,对高性能、高能效芯片的需求增加,为先进封装技术提供了发展空间。先进封装技术能在不单纯依靠芯片制程工艺实现突破的情况下,通过晶圆级封装和系统级封装,提高产品集成度和功能多样化,满足终端应用对芯片轻薄、低功耗、高性能的需求,同时大幅降低芯片成本。”  根据Yole预测,全球先进封装在集成电路封测市场中所占份额将持续增加。预计到2026年,全球封测市场规模将达到921亿美元,先进封装市场规模将达到459亿美元,约占据封测市场50%的份额。  在此背景下,封测上市公司加快布局先进封装。以华天科技为例,今年3月份,公司全资子公司华天江苏拟投资28.58亿元进行“高密度高可靠性先进封测研发及产业化”项目的建设,项目建设期5年,预计项目达产后每年实现营业收入12.61亿元,实现净利润2.66亿元。
  • 封测仪器新机遇!2024年全球半导体封装材料市场将达到208亿美元
    p美国加州时间2020年7月28日,SEMI和TechSearch发布的《全球半导体封装材料市场展望》预测报告(Global Semiconductor Packaging Materials Outlook)称:全球半导体封装材料市场将从2019年的176亿美元增长至2024年的208亿美元,复合年增长率(CAGR)为3.4%。半导体产业的增长将推动这一增长,包括大数据、高性能计算(HPC)、人工智能(AI)、边缘计算、先进内存、5G基础设施扩建、5G智能手机、电动汽车以及汽车安全功能的采用和增强。/ppimg style="max-width:100% max-height:100% " src="https://www.semi.org.cn/img/news/QQ%E6%88%AA%E5%9B%BE20200729150559.png"//pp封装材料是这些应用增长的关键,它使这些能够支持下一代芯片更高性能、可靠性和集成度的先进封装技术成为可能。/pp在对系统级封装(SIP)和高性能器件的需求推动下,作为最大材料领域的层压基板的复合年增长率将超过5%。在预测期内,晶圆级封装(WLP)电介质将以9%的复合年增长率增长最快。尽管正在开发提高性能的新技术,但朝着更小、更薄的封装发展的趋势将抑制引线框架,管芯连接和密封材料的增长。/pp随着半导体封装技术创新的稳步推进,预计未来几年将在材料市场中呈现几个机会领域,包括:/ppbr//pul class=" list-paddingleft-2"lip新的基板设计可支持更高密度的窄凸点间距/p/lilip适用于5G mmWave应用的低Dk和Df层压材料/p/lilip基于改进的引线框架技术【称为模制互连解决方案/系统(MIS)】的无芯结构/p/lilip模压化合物可为铜柱凸点倒装芯片提供底部填充/p/lilip树脂材料需要较小的填料和较窄的粒度分布,以满足狭窄的间隙和细间距倒装芯片/p/lilip粘晶材料,在 5 µ m的位置内进行处理/p/lilip更高频率的应用(例如5G)所需的介电损耗(Df)较低的电介质/p/lilipTSV电镀所需的无空隙沉积和低覆盖层沉积/p/li/ulpbr//pp报告预测的2019年至2024年的其他增长领域包括:/pul class=" list-paddingleft-2" style="list-style-type: disc "lip基于加工材料的平方米,全球IC封装的层压基板市场预计将以5%的复合年增长率增长。/p/lilip预计总体引线框架出货量的复合年增长率将略高于3%,其中LFCSP(QFN型)的单位增长率最高,复合年增长率将近7%。/p/lilip在对更小,更薄的封装形式的求不断增长的推动下,封装材料的收入将以不到3%的复合年增长率增长。/p/lilip芯片连接材料收入将以近4%的复合年增长率增长。/p/lilip焊球收入将以3%的复合年增长率增长。/p/lilipWLP电介质市场预计将以9%的复合年增长率增长。/p/lilip晶圆级电镀化学品市场的复合年增长率预计将超过7%。/p/li/ulp《全球半导体封装材料市场展望》(Global Semiconductor Packaging Materials Outlook)是由TechSearch International和SEMI或其合作伙伴TECHCET LLC对半导体封装材料市场进行的全面市场研究。是该报告系列的第九版。 报告基于对100多家半导体制造商、封装分包商、无晶圆厂半导体公司和封装材料供应商进行了访谈。 该报告涵盖以下半导体封装材料领域:/pul class=" list-paddingleft-2" style="list-style-type: disc "lip基材/p/lilip引线框/p/lilip焊线/p/lilip密封胶/p/lilip底部填充材料/p/lilip芯片贴装/p/lilip锡球/p/lilip晶圆级封装电介质/p/lilip晶圆级电镀化学品/p/li/ul
  • 贺利氏:半导体封装材料的未来方向
    p 半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。封装测试是半导体产业的重要环节。在摩尔定律发展脚步迟缓的情况下,对芯片制造商而言,光是靠先进制程所带来的效能增进,已不足以满足未来的应用需求,因此先进封装技术显得尤为重要。然而目前的封装技术在封装材料上存在一些问题亟待解决。/pp 在微型化的趋势下,封装尺寸越来越小,这对封装材料的散热、可靠性要求越来越高。但在超细间距应用中,焊接材料面临着工序复杂、空焊、冷接和焊接不良等问题。贺利氏为此推出了Welco AP5112焊锡膏,使用一体化印刷方案简化了封装流程,同时去除了空焊、冷接和焊接不良现象,减少了材料管理成本。/pp 在高功率器件封装中,不同于传统半导体硅功率器件,第三代半导体功率器件工作温度突破了200℃,这对封装材料提出了新的要求。因此,功率器件封装中需要关键焊接材料具有较低的工艺温度、较高的工作温度、很好的导电性和散热能力。针对此,贺利氏推出了通过扩散将芯片背银和框架上的银(铜)连接在一起烧结银材料。/pp 在存储器件封装应用中,引线键合高度依赖金线。随着国产存储芯片开始量产,急需降低引线键合成本。对此,贺利氏在去年发布了全球首款AgCoat Prime镀金银线,显著降低了净成本。/pp 随着半导体制造工艺越来越难以继续缩微,先进封装对继续提升芯片性能的重要性日益凸显,对半导体封装材料也将带来更多要求。/pp原文:/pp style="text-align: center "strong贺利氏:全球化分工不可逆,构建可靠的供应链至关重要/strong/pp 集微网消息,过去50年来,随着半导体工艺节点向7nm及以下节点工艺发展的速度减慢,摩尔定律减速,是否已到达效率极限已经引起全球辩论。尽管如此,5G、物联网和人工智能等新的终端市场应用正在彻底改变半导体行业,这些新兴应用对高效节能芯片的要求越来越强烈,小型化变得越来越重要,半导体业界正在积极探索解决方案,推动了对新的先进封装技术的需求。/pp style="text-align: center "img style="max-width:100% max-height:100% " src="http://s.laoyaoba.com/jwImg/news/2020/07/01/15936066458907.png"//pp 贺利氏电子中国区销售总监王建龙对集微网记者表示,先进封装发展趋势走向了模块化。一方面,在微型化趋势下,系统级封装(SiP)中的元件数量不断增加,但同时封装体尺寸越来越小。受此影响,手机等消费电子产品的先进封装对于连接材料的要求越来越苛刻。在窄间距、高密度的封装要求下,呈现出模块化封装的发展趋势。另一方面,在新能源汽车、轨道交通、智能电网等应用中,呈现数十颗功能芯片集成在一个模块里封装的趋势。而无论是传统的硅功率器件,还是以氮化镓和碳化硅为代表的第三代半导体器件,大量的大功率器件集成在一个模块中,对散热、可靠性的要求越来越高。/pp “随着技术不断进步,对于元器件的要求越来越严苛。面对激烈的竞争,制造商们倍感压力,不得不努力缩短产品上市时间。贺利氏电子了解这些挑战,也知道客户需要什么样的产品和服务来满足这些严苛的要求。”王建龙表示。例如在消费电子的超细间距应用中,对焊接材料的要求越来越严苛,贺利氏为此推出了Welco AP5112焊锡膏,可以用一体化印刷方案解决SiP封装的SMD和Flip Chip两次工序需求,减少加工步骤,简化SiP封装流程。同时去除了空焊和冷接、焊接不良现象,也减少了材料管理成本。最小可以支持钢网开孔尺寸70um,线间距50um的印刷。/pp 在高功率器件封装中,对于传统的硅功率器件,受本身半导体结构的限制工作温度限定在175° C,第三代半导体功率器件则突破了200° C。因此一方面要延长硅基功率器件的使用周期,另一方面要适应碳化硅等第三代半导体小型化高散热的要求,这对作为功率器件封装中关键焊接材料也提出了新的要求,既要有低的工艺温度和高的工作温度,还要有很好的导电性和散热能力。贺利氏的烧结银材料主要用到了熔点961° C的银,保证了焊接材料可以工作在 200° C 以上,具有高导电性、高散热能力和热机械稳定性。从焊接工艺来说,这种烧结材料不同于锡膏,在整个焊接过程中,银始终作为固态形式存在,通过扩散将芯片背银和框架上的银(铜)连接在一起,烧结后具备很好的剪切强度、高的导电性和散热性,提高了功率器件的工作温度和可靠性。/pp 在半导体市场中,存储器件占据非常大的比例。在许多半导体应用中,封装中使用的金线已被银线、裸铜线和镀钯铜线所取代。然而在存储器件封装应用中,引线键合仍然高度依赖金线。随着中国国产存储芯片开始量产,降低生产成本的需求十分强烈。针对此贺利氏在去年发布了全球首款AgCoat Prime镀金银线,性能和可靠性堪比金线,可显著降低净成本。王建龙表示,AgCoat Prime产品前期在国内一些客户中进行验证,可能个别客户会有一些工艺参数的微调,也可能需要他们跟客户再进行一定的重复验证。“可以肯定的是这款产品可以大幅降低存储器件的成本,也不排除将来成为一种行业标准解决方案。”他指出,“AgCoat Prime起初是针对半导体存储器设计的,但是也可以用到RFID、LED等应用中。”/ph4疫情、国际局势加速半导体产业升级/h4p 今年爆发的疫情,先后在中国和全球半导体产业中掀起不小的震荡。因为终端需求下滑,许多市场研究机构预测今年半导体的增速也会大幅下滑乃至继续为负,但是中国市场呈现出了不一样的活力。/pp 根据近日上海市委常委、副市长吴清公布的数据,在1-5月份各个领域受到挑战的情况下,上海集成电路逆势增长,销售收入实现38.7%的增长。对此王建龙表示,中国半导体市场在未来五年里预计都将处于明显的上升周期中。疫情虽然短时间内对产业造成了一定冲击,但长期来看,疫情催生线上经济、加速“远程办公”,以及生活方式变革,对5G、存储、新能源技术等领域都是很大的推动力,中国半导体产业也在加紧技术研发和产业升级。“在这些因素作用下,贺利氏今年1~5月份市场表现甚至优于去年同期。除了汽车电子业务受市场需求影响略有下滑,在先进封装和功率电子业务上都呈现上升态势。”他补充说,“但是随着汽车互连化以及新能源车的加快推进,以及碳化硅功率器件的普及,贺利氏也将迎来巨大的增长机会。”/pp 另一方面,疫情和中美贸易冲突加剧,全球半导体产业链受到不同程度的停工、断供危机。王建龙认为,因为某一个工厂出了问题就断供,这是非常不可靠的公司行为。/pp “贺利氏2016年建立的‘备份工厂’机制很好的避免了这些问题。我们的每个产品线都有备份工厂,某个工厂出现问题,其他的工厂可以马上替补生产。很多客户的产品都认证过,他们的产品可以在两个工厂之间随时切换。当然正常时期会优先选择供应周期更短、效率更高的工厂。在疫情期间我们的客户已经体会到‘备份工厂’带来的便利。”他表示,“另一方面,美国制裁华为,华为想要在国内建立更多供应链,以及多个国家想要将产业链迁出中国。从这方面看,短期内中国在全球制造业的地位是不会改变的。全球化不会因为政治影响而改变,最终还是需要用户受益,因此产业链也不可能逆市场而行。显然,市场、人才、效率、产业链,都在中国这里。全球分工、全球合作,不是某个人、某个国家可以改变的。”/pp style="text-align: center "img style="max-width:100% max-height:100% " src="http://s.laoyaoba.com/jwImg/news/2020/07/01/15936066061463.png"//pp 作为贺利氏全球最重要的市场之一,为了贴近客户需求,贺利氏在上海先后成立了上海产品创新中心和技术应用中心,分别从事与客户及合作伙伴共同进行电子材料系统的研发测试和应用认证。王建龙透露,上海创新应用中心成立近两年来,多个重要客户在这里与贺利氏一起完成了他们关键产品的封装挑战。“例如某个新能源车企在这里,通过贺利氏的材料解决方案解决了在新能源车核心的电控部分的技术难题,使电控模块性能得到了显著升级。”他解释, “这是一个创新中心与客户共同研发、投入量产,以此推动产业发展的一个成功案例。相信在未来两年,国内主要的新能源车电控部分都会直接或间接与贺利氏合作。贺利氏也将继续以完善的材料产品与服务组合,来满足中国市场对于高性能电力电子产品日益增长的需求。”/pp 最后,王建龙强调,半导体制造工艺越来越难以继续缩微,而先进封装对继续提升芯片性能的重要性日益凸显,进而对半导体封装材料带来了更多要求。“芯片的集成度可能会受到摩尔定律逼近极限的影响,但是人们追求先进电子设备的脚步不会因此停下。封装技术无疑是一个重要途径,这也是为什么贺利氏将先进封装业务提升到更高的战略层面的原因。”王建龙强调。/p
  • 中京电子加速布局半导体封装核心基材领域
    12月29日晚间,中京电子发布公告称,公司与江门盈骅光电科技有限公司(简称“盈骅光电”)签署股权转让协议,拟使用自有资金1000万元人民币购买盈骅光电所持有的广东盈骅新材料科技有限公司(简称“盈骅新材”)1.4286%的股权。对于此次交易目的,中京电子在公告中指出,盈骅新材为目前国内封装载板基材的先进企业,已实现BT材料等半导体封装基材的批量供货。本次交易,有利于公司切入半导体上游材料领域,并与公司 IC载板业务形成良好的技术与客户协同,符合公司的战略发展方向。同时,中京电子表示,公司积极关注产业链协同发展和半导体材料进口替代进程,增强供应链快速响 应机制和保障机制,本次交易有利于促进公司IC载板业务的长期发展。据了解,半导体封装基板(IC载板)系中京电子重点发展的战略产品,而封装基板材料(BT/ABF)是IC载板等半导体先进封装材料的核心基础材料,但目前主要由日本三菱瓦斯、味之素等国外厂商垄断。而盈骅新材长期致力于先进封装领域高性能树脂材料、先进封装载板用BT基材以及FC-BGA封装载板用ABF增层膜的研发以及产业化,其技术研发与创新能力达到国际先进水平,是国内较早开发半导体封装载板用BT基材和芯板的企业。公告显示,盈骅新材的BT基材已在MiniLED显示、存储芯片、传感器芯片等领域实现批量供货,其ABF载板增层膜已经向全球ABF载板龙头企业送样,应用于CPU、GPU、AI等芯片领域。
  • 半导体产业复苏在即 检测技术新趋势、新方向在这里|直击中国检测技术与半导体应用大会
    7月11日-13日,2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛在上海成功举办。这场半导体行业盛会由中国技术创业协会、上海市经济和信息化委员会、上海市科学技术协会、上海虹桥国际中央商务区管委会、上海市闵行区人民政府指导,国家集成电路创新中心、上海市仪器仪表行业协会、财联社主办,复旦大学光电研究院、复创芯、科创板日报、上海南虹桥投资开发(集团)有限公司、上海段和段(虹桥国际中央商务区)律师事务所承办。本次盛会汇聚了来自政府、学界、企业界等500多名人士,旨在提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇进行探讨交流。开幕式上,中国工程院院士庄松林、上海虹桥国际中央商务区管委会副主任李康弘、国家集成电路创新中心副总经理沈晓良等作了致辞。复旦大学光电研究院院长、中国科学院院士褚君浩,中国半导体行业协会副秘书长兼封测分会秘书长,西安交通大学微电子行业校友会秘书长徐冬梅,曾任超瞬态装置实验室主任、电子显微镜中心科研合作主任唐文新,上海复旦微电子集团股份有限公司副总经理沈磊等在大会上作了报告分享。大会报告称,受全球消费电子市场萎缩,订单下滑影响,2023年整体封测市场并不乐观,但是随着下游客户端库存下降,年底市场显示出复苏迹象,预计封测市场2024年将迎来反弹,年产业规模有望突破3300亿元。多名演讲嘉宾认为,伴随着集成电路往更小尺度、更高集成度和更多功能方向发展,半导体检测精度和可靠性愈发严格和重要。此外,新兴的应用领域如汽车电子和人工智能进一步提高了检测的需求,包括更高的精度、速度和更低的成本。▍新产业形态的催生多名演讲嘉宾表示,从2022年下半年截至目前,半导体行业仍处于周期性调整过程,但受新能源车、人工智能、5G自动驾驶等领域的蓬勃发展带动,2024年半导体产业增长有望摆脱下降趋势,开始回调,实现超10%的增长。根据统计数据显示,2023年中国大陆封测业的销售额是2932.2亿元,同比下降2.1%,虽封测市场处于下滑态势,但我国本土封测代工厂整体营收实现增长,2023年超过1300亿元,同比增长8%。尤其是在先进封测领域,国内企业实现技术的不断突破。“在大批实现营收正增长点国内封测代工厂中,增幅前三的分别是盛合晶微、佩顿科技和颀中科技。”盛合晶微是国内硅片级先进封装领域的头部企业,是目前国内极少数大规模量产2.5D封装的封测厂之一。佩顿科技在2023年完成了16层堆叠技术研发并具备量产能力,超薄POP封装技术实现量产。因为受惠于面板驱动芯片的反弹,总部位于合肥的颀中科技也实现了20%的增长。此外,国内有四家企业常年稳居全球委外封测前十强,分别为长电、通富、华天、智路封测,市占率达到25.83%。在先进封装技术领域,晶圆级封装产品工艺如多重布线(RDL)、WLCSP工艺技术、晶圆级高密度凸点/窄节距CuPillar等核心技术全面实现自主突破并已分别被大量应用。高密度多层封装基板制造工艺实现了IC封装基板产品零的突破,突破了国外的技术垄断并实现量产。高性能运算(HPC)2.5D先进封装、射频SiP/AiP、汽车电子封装、三维堆叠封装技术、大尺寸多芯片Chip Last封装、3D NAND FIash封装等先进封装制程均实现产业化,Chiplet集成技术成为各厂商竞相开发的技术。除了国内封装企业的进步和国产技术的不断突破以外,有不同演讲嘉宾指出,自2020年以来,中国半导体产业经历了产能爆满、市场需求强劲的阶段,随后进入了周期性调整状态。尽管行业处于调整期,但并购活动并未停止,尤其在第三代半导体等领域表现出聚焦态势。标志着产业向着更先进的新器件、新材料方向发展。“当前半导体行业的技术日新月异,尤其是在仿真器、机械结构变化等方面,正经历着从二维到三维,再到更高级别的演进。这些变化不仅体现在应用层面,也深入到物理和技术等多个层面。同时,由于仪器设备的进步,特别是各类高端分析工具的应用,使得对半导体材料的研究更为细致深入。在当前大数据背景下,基于AI的设备和服务也将对检测环节产生深远影响,催生新的产业形态。”▍新技术带来新征程对于半导体检测技术的未来发展,上海复旦微电子集团股份有限公司副总经理沈磊在大会上表示,随着多系统集成带来的新挑战,检测与验证变得尤为重要。“首先要通过实时数据的收集,建立数据库和数据模型,对大后台数据进行比对。其次要通过智能化对仪器仪表进行赋能,可以通过人工智能的图像识别来提高检测的有效性。最后是精度,可以通过新的技术形式,丰富检测手段使其更加精准”。中国半导体行业协会副秘书长兼封测分会秘书长徐冬梅则强调了Chiplet的发展。她认为,Chiplet采用先进封装,利用小芯片的组合代替大的单片芯片。借助小芯片的可重用性和高良率等优势,可以有效降低芯片设计和制造成本。“芯片成本的快速增加,催生了Chiplet封装技术的崛起。人工智能、HPC高性能计算对于Chiplet的尝试会更加迫切。另外,平板电脑应用处理器、自动驾驶预处理器和数据中心应用处理器也将会是Chiplet率先落地的应用领域”。复旦大学光电研究院院长、中国科学院院士褚君浩表示,在智能时代背景下,科技创新不断提升信息传感分析和数字技术水平,促进人工智能、传感器物联网与智慧低碳等智能制造仪器设备产业发展,从而推动数字经济高质量发展,形成新质生产力,推动未来产业发展,提高人们生活水平。曾任超瞬态装置实验室主任、电子显微镜中心科研合作主任唐文新表示,高精度半导体检测技术的创新,是一个多学科交叉融合、协同发展的过程。它不仅需要材料科学、物理学和电子工程等基础学科的支持,也离不开数据科学和人工智能等新兴技术的推动。大会上,多位演讲嘉宾认为新能源车、5G和AI等领域呈现快速增长趋势,使半导体产业正面临着一系列新的挑战与需求,同时也迎来了前所未有的发展机遇。这一技术浪潮不仅向半导体产业提出了更高要求,还为检测技术注入了强大的动力。通过深度融合人工智能算法,芯片缺陷检测实现了高度自动化,极大地提升了检测效率与准确性。新兴技术的发展正逐步将半导体制造推向更加智能化、精细化的新阶段。本次大会的执行主席复旦大学微电子学院卢红亮教授、复创芯发起人介绍,近年来围绕集成电路产业的基础研究、技术路径、产业应用等方面的比拼愈发激烈,亟需构建我国集成电路产业高质量创新发展的基础设施体系,发展相应的行业技术标准和测试方法,大力提升面向半导体产业的先进检测设备和测试仪器。本次大会通过报告、分会报告、产品展览、科研成果展示、学术墙报等多种形式,搭建了创新链、产业链和供应链的合作平台,为高校科技成果转移转化链接合作机遇,为半导体检测与测试设备、仪器企业提供展示技术和产品的舞台,为地方政府提供展示投资环境的投资路途。此外,本次大会进行了长三角半导体高质量创新服务中心揭牌仪式。
  • 【国产替代】正业科技加快半导体检测进程 推出全自动半导体X-RAY检测设备
    半导体产业是国民经济中基础性、关键性和战略性的产业。半导体检测从设计验证到封装测试都不可或缺,贯穿整个半导体制造过程,具有无法替代的重要地位。全球半导体检测设备市场呈现高集中的特点。目前绝大部分半导体设备依然高度依赖进口。科技竞赛不可避免 半导体检测设备国产化意义重大从以上SEMI数据,2021年中国(大陆)半导体设备销售额296.2亿美元,占全球市场的28.9%,同比2020年增长58%。半导体测试可以按生产流程可以分为三类:验证测试、晶圆测试、封装检测,晶圆测试和封装检测设备约占半导体设备比例20%,被海外公司垄断,国产替代率不足10%。国内成熟晶圆制造和封装测试检测设备市场存在较大的供应缺口。正是在这机遇下,本土“工业检测智能装备”提供商广东正业科技股份有限公司公司(简称,正业科技)迎来快速发展。国产替代势在必行 正业科技推出全自动检测方案在半导体领域正业科技自主研发的半导体分立元器件在线全自动X-RAY检测设备为半导体行业客户解决了检测效率的难题。该产品主要检测半导体内部缺陷,识别挑选良品与不良品,避免残次品流入半导体芯片成品市场,该款设备其漏判率为0%,误判率为3‰,可替代进口单机X光成像设备的人工目检方式。正业全自动X-RAY检测设备在效率上比同类国际品牌提升了2-3倍,仅需1人就可以操作多台设备,价格仅为国外品牌的一半,为企业提质增效。此款设备已经应用于某全球知名半导体企业,同时也在行业中受到广大客户的一致好评。同时公司也将针对半导体、电子元器件、SMT等推出2.5D X-RAY检测设备以及智能点料机等产品,丰富产品结构,逐步扩展市场应用领域。全自动半导体X-RAY芯片缺陷检测设备全自动半导体X-RAY芯片缺陷检测设备自适应7英寸、11英寸、13英寸料盘,通过算法对图像进行分析、判断,确定良品与不良品,同时通过虚拟复盘功能,实现不拆料盘自动检测IC内部异物及线性缺陷。该设备具有一套X-ray成像系统,四轴机器人上下料,可对接AGV小车自动上下料、自动读取包装单元的信息、对每粒芯片进行自动检测、标记并上传MES。检测项:1.线型坏品,如塌线、线摆、线紧、线弧高、线弧低、平顶、飞线、断线等;2.脚型坏品,如歪钉脚、翘钉脚、脚变形等;3.球型坏品,球大小、球走位、球畸形等;4.Die走位坏品;5.异物坏品,如金属丝、多余线、多余Die、断颈坏品等。半自动半导体X-RAY检测设备半自动半导体X-RAY检测设备具有一套X-ray成像系统,分为2D和2.5D检测,广泛应用于电子半导体、SMT和PCB板等领域,可检测分立元器件、功率元器件相关的IC、电容器、电阻、二极管、多层线路板等内部缺陷。为了满足不同客户的要求,我们做了以下三款不同的机型1.2D机型,主要针对可以平面检测的缺陷产品,如BGA的气泡检测、线宽检测、焊点大小检测、断线、漏焊等检测;2.2.5D机型,在2D的基础上又增加了线形、线高、变形量以及曲折检测,可以对产品的左右两侧面进行检测,其检测范围更广,适用能力更强;3.2.5D+360°旋转机型,通过产品的旋转达到对产品不同侧面的检测,这样可以完整的对一个产品或者位置点清晰的四周检测,达到3D检测效果。智能点料机智能点料机具有一套X-ray成像系统,抽屉式伸缩放料托盘,同时具有5组有无产品确认感应。主要针对半导体、SMT行业内的编带元件进行点数,可适应7英寸-15英寸料盘(厚度4-80mm)。可配备扫码枪、扫码CCD和打印机等工具,将检测结果上传MES系统,并将结果根据需求格式打印出来贴附于产品上。应用范围:薄膜电容、电阻、二极管、三极管和IC等常见物料,物料包装包含裸盘和防静电塑封包装等。其数据库持续更新、可以无缝对接ERP,MES等、支持任意格式SPC统计、图片和结果自动保存。目前,全球分立器件市场以MOSFET和IGBT为代表的功率半导体产品成为最大的热门,功率半导体器件广泛应用于各类电子产品,中国是全球最大的功率半导体消费国,伴随国内功率半导体行业进口替代的发展趋势,未来中国功率半导体行业将继续保持增长。在科技发展与国家战略双轮驱动的背景下,正业科技将专注于分立器件和功率半导体领域的检测产品开发,深耕科技研发,扩大市场规模,提升国产品牌影响力,力争率先进入国际分立器件检测的排头兵,将半导体器件的质量提升一个新高度。
  • 我国半导体量子计算芯片封装技术进入全新阶段
    近日,记者从量子计算芯片安徽省重点实验室获悉,我国科研团队成功研制出第一代商业级半导体量子芯片电路载板,该载板最大可支持6比特半导体量子芯片的封装和测试需求,使半导体量子芯片可更高效地与其他量子计算机关键核心部件交互联通,将充分发挥半导体量子芯片的强大性能。量子计算机具有比传统计算机更高效的计算能力和更快的运算速度,在多种不同技术路线中,半导体量子计算因其自旋量子比特尺寸小、良好的可扩展性、与现代半导体工艺技术兼容等优点,被视为有望实现大规模量子计算机处理器的强有力候选之一。据了解,要实现半导体量子计算,需要该体系下稳定、可控的量子比特,芯片载板则扮演了支持量子芯片与外界测量链路及测控设备建立稳定连接的关键角色。但该领域资金投入大、技术壁垒高导致整体研发周期长、研发难度大。目前国际上生产半导体量子芯片载板的仅有丹麦一家量子计算硬件公司。“量子芯片载板是量子芯片封装中不可或缺的一部分,量子芯片的载版就好比城市的‘地基’,它能够为半导体量子芯片提供基础支撑和信号连接,其上集成的电路和器件可有效提升量子比特信号读取的信噪比和读出保真度,确保量子芯片稳定运行。该载板高度集成的各类量子功能器件和电路功能单元,极大地提升了量子芯片的操控性能。”量子计算芯片安徽省重点实验室副主任贾志龙介绍,“研发出这款半导体量子芯片电路载板可以大大节约我国在半导体量子计算技术路线的研发生产成本,也标志着我国半导体量子计算芯片封装技术进入全新阶段。”
  • 博纳半导体获数千万元A轮融资,先进封装设备国产化替代
    1月3日消息,博纳半导体设备(浙江)有限公司(以下简称「博纳半导体」)获得数千万元A轮融资,资方为宁波梓禾和嘉善经开同芯创业投资,独木资本将为项目的后续融资提供财务顾问服务。资金将用于生产基地建设,技术团队建设以及完善公司管理体系。「博纳半导体」创始人兼CEO刘亮表示,当摩尔定律发展到极致,晶圆也在越做越薄——在先进封装应用中,晶圆厚度一般小于100μm,晶圆减薄技术是封装技术工序中最重要的工艺之一。「博纳半导体」创始人兼CEO刘亮表示,临时键合和解键合流程此前多用日本设备,但日本厂商往往有不平等条约,比如需要采用其指定的材料、为不少额外类目的付费等等,并且交付周期和价格也更长,国产设备相比之下,优势则高下立现。据介绍,目前「博纳半导体」已经推出了临时键合、临时解键合设备、临时解键合清洗一体机在内的三款设备,公司已建设完整的打样试验线,为客户提供一体化,完整工艺段的服务。相比国外设备,「博纳半导体」产品造价是国外同等产品的一半左右。并且,这些机器的零部件中有超过85%为该公司自主研发,更加自主可控,也可配合下游客户的具体需求。目前,「博纳半导体」的商业化进展快速,已经与国内先进封装龙头企业长电科技及关联企业交付数台整机设备、并且实现量产。团队方面,「博纳半导体」创始人兼CEO刘亮有着超过 15 年的先进封装、晶圆制造设备开发经验,团队拥有自主知识产权、全国首创的临时解键合清洗一体机产业化技术。领投方梓禾资本创始人郑昕表示,「博纳半导体」公司产品具备较大的先进性,处于国内领先地位。公司设备相较进口设备具有性价比高、设备重量轻体积小等优势,并符合国家战略发展方向;临时键合/解键合设备在先进封装、化合物半导体、MicroLED等领域均具有较大应用场景;「博纳半导体」发展思路清晰,在围绕晶圆临时键合/解键合工艺进行前后端机台研发,未来发展前景可期。
  • 蔡司推出半导体封装失效分析高分辨3D X射线成像解决方案
    p  新型亚微米与纳米级XRM系统及新型microCT系统为失效分析提供了灵活选择,帮助客户加速技术发展,提高先进半导体封装的组装产量。/pp  strong加州普莱斯顿与德国上科亨,2019年3月12日/strong--蔡司发布了一套新型高分辨率3D X射线成像解决方案,用于包括2.5/3D与扩散型晶圆级封装在内的先进半导体封装的失效分析(FA)。蔡司X射线显微系统包括:通过亚微米级和纳米级高分辨率成像对封装产品进行失效分析的a href="https://www.instrument.com.cn/news/20190124/479353.shtml" target="_blank" style="color: rgb(0, 176, 240) text-decoration: underline "strongspan style="color: rgb(0, 176, 240) "Xradia 600 Versa系列/span/strong/a和 Xradia 800 Ultra X射线显微镜(XRM),以及Xradia Context microCT。随着在现有产品基础上新设备的研发推出,现如今,蔡司可以为半导体行业提供一系列3D X射线成像技术辅助生产。/pp  蔡司制程控制解决方案(PCS)部门与蔡司SMT部门总裁Raj Jammy博士介绍说:“在170年的历史中,蔡司始终致力于拓展科学研究的疆域,推动成像技术的发展,以实现新的工业应用和技术创新。在今天的半导体行业,封装尺寸与器件尺寸越做越小,因此我们比以往任何时候都更需要新型成像解决方案,用于快速排除故障,实现更高的封装产量。蔡司很荣幸宣布推出这一新型先进半导体封装3D X射线成像解决方案,为客户提供强大的高分辨率成像分析设备,以提高失效分析准确率。”/pp  strong先进封装技术需要新型缺陷检测与失效分析的方法/strong/pp  随着半导体产业面临CMOS微缩极限的挑战,人们需要通过半导体封装技术弥合性能上的差距。为了继续生产更小巧、更快速、更低功耗的器件,半导体行业正在通过芯片的3D堆叠和其他新型封装方式尝试封装创新。这些创新催生了日益复杂的封装架构,带来了新的制造挑战,同时也增加了封装故障的风险。此外,由于发生故障的位置往往隐藏于复杂的三维结构之中,传统的故障位置确认方法难以满足高效分析的需求。行业需要新型技术来有效地筛选和确定产生故障的根本原因。/pp  为满足这一需求,蔡司开发出全新3D X射线成像解决方案,提供亚微米与纳米级3D图像,显示出隐藏于完整的封装3D结构中的特性与缺陷。将样品置于系统,样品在光路中旋转,从不同角度捕捉一系列2D X射线投影图像,然后使用复杂的数学模型和算法重建3D模型。新型解决方案可以从任意角度观察3D模型虚拟切片,从而在进行物理失效分析(PFA)之前对缺陷进行三维可视化。蔡司亚微米和纳米级XRM解决方案相结合,为客户提供独特的故障分析工作流程,有助于显著提高失效分析成功率。蔡司的新型Xradia Context microCT采用基于投影的几何放大技术,在大视场中实现高衬度和高分辨率成像,而且也可以全面升级至Xradia Versa X射线显微镜。/pp strong 新型成像解决方案详解/strong/pp  a href="https://www.instrument.com.cn/news/20190124/479353.shtml" target="_blank" style="color: rgb(0, 176, 240) text-decoration: underline "span style="color: rgb(0, 176, 240) "strongXradia 600 Versa/strong/span/a系列是新一代3D XRM,能够在完整的已封装半导体器件中对已定位的缺陷进行无损成像。在结构化分析和失效分析应用中,新型解决方案在制程开发、良率提升和工艺分析等方面表现出色。Xradia 600 Versa系列以屡获殊荣且具有大工作距离高分辨率(RAAD)特性的Versa X射线显微镜为基础,提供优异的成像性能,实现大工作距离下的大样品的高分辨率成像,用于为封装、电路板和300毫米晶圆生产确定产生缺陷与故障的原因。利用该解决方案,可以轻松看到与封装级故障相关的缺陷,例如凸块或微型凸块中的裂纹、焊料润湿或硅通孔(TSV)空隙。在进行物理失效分析之前对缺陷进行3D可视化处理,有助于减少伪影,提供横纵方向的虚拟切片效果,从而提高失效分析成功率。新型解决方案的主要特性包括:/pp  ◆最高空间分辨率0.5微米,最小体素40纳米/pp  ◆与Xradia 500 Versa系列相比, 工作效率提高了两倍,且在保证高分辨率的同时,在整个kV(电压)和功率范围内保持出色的X射线源焦点尺寸稳定性与热稳定性/pp  ◆更加简便易用,包括快速激活源/pp  ◆可靠性测试中可实现多个位点连续成像,并能观察封装结构内部亚微米结构变化/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/fcb3b14e-afb6-4859-b117-ade3ce9e1694.jpg" title="1.jpg" alt="1.jpg"//pp  strongXradia 800 Ultra/strong将3D XRM提升至纳米级尺度,并在纳米尺寸下探索隐藏的特性,获得高空间分辨率图像的同时保持感兴趣区域的结构完整性。其应用包括超密间距覆晶与凸块连接的工艺分析、结构分析和缺陷分析,从而改进超密间距封装与后段制程(BEOL)互连的工艺改进。Xradia 800 Ultra能够对密间距铜柱微凸块中的金属间化合物所消耗焊料的结构和体积进行可视化。在成像过程中保留缺陷部位,有助于采用其他技术进行针对性的后期分析。还可以利用3D图像来表征盲孔组件(blind assemblies)的结构质量,例如晶圆对晶圆键合互连与直接混合键合等。该解决方案的主要特性包括:/pp  ◆空间分辨率150纳米与50纳米(需要制备样品)/pp  ◆选配皮秒激光样品制备工具,能够在一小时内提取完整体积(结构)样品(通常直径为100微米)/pp  ◆兼容多种后续分析方法,包括透射电子显微镜(TEM)、能量色散X射线谱(EDS)、原子力显微镜(AFM)、二次离子质谱(SIMS)和纳米探针/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/52ac92be-9189-4c80-bd09-b60d7bb9da1b.jpg" title="2.jpg" alt="2.jpg"//pp  strongXradia Context microCT/strong是一种基于Versa平台的新型亚微米分辨率3D X射线microCT系统。该解决方案用于封装产品在小工作距离和高通量下进行高分辨率成像。主要特性包括:/pp  ◆在大视场下提供大样品的全视场成像(体积比Xradia Versa XRM系统大10倍)/pp  ◆小像素尺寸的高像素密度探测器(六百万像素)即使在观察视野较大的情况下也能确保较高分辨率/pp  ◆X射线microCT拥有空间分辨率0.95微米,最小体素0.5微米/pp  ◆出色的图像质量与衬度/pp  ◆可升级为Xradia Versa,实现RaaD功能,对完整大样品进行高分辨率成像/pp style="text-align: center"img src="https://img1.17img.cn/17img/images/201903/uepic/a444699e-2096-43cc-a3ed-3471855ecc79.jpg" title="3.jpg" alt="3.jpg"//pp  上海新国际博览中心即将于3月20日至22日举办中国半导体展(SEMICON China),蔡司将在展会上展示最新显微镜产品和解决方案,包括新型Xradia 600 Versa系列、Xradia 800 Ultra和Xradia Context microCT系统。如有意了解详情,您可到N2展厅2619号展位参观蔡司展品。/pp  strong关于蔡司/strong/pp  蔡司是全球光学和光电领域的先锋。上个财年度,蔡司集团旗下四个部门的总收入超过58亿欧元,包括工业质量与研究、医疗技术、消费市场,以及半导体制造技术(截止:2018年9月30日)。/pp  蔡司为客户开发、生产和分销用于工业测量与质量控制的创新解决方案,用于生命科学和材料研究的显微镜解决方案,以及用于眼科和显微外科诊断与治疗的医疗技术解决方案。在半导体行业,“蔡司”已成为世界优秀的光学光刻技术的代名词,该技术被芯片行业用于制造半导体元件。眼镜镜片、照相机镜片和双筒望远镜等引领行业潮流的蔡司产品正在全球市场热销。/pp  凭借与数字化、医疗保健和智能生产等未来增长领域相结合的投资组合,以及强大的品牌,蔡司正在塑造光学和光电行业以外的未来。该公司在研发方面的重大、可持续投资为蔡司技术和市场成功保持领先地位和持续扩张奠定了基础。/pp  蔡司拥有约30,000名员工,活跃于全球近50个国家,拥有约60家自有销售和服务公司、30多家生产基地和约25家开发基地。公司于1846年创办于耶拿(Jena),总部位于德国上科亨。卡尔· 蔡司基金会(Carl Zeiss Foundation)是德国最大的基金会之一,致力于促进科学发展,是控股公司卡尔· 蔡司股份公司的唯一所有者。/p
  • 盛美半导体发布首台应用于化合物半导体制造中晶圆级封装和电镀应用的电镀设备
    作为半导体制造与先进晶圆级封装领域中领先的设备供应商,盛美半导体设备8月31日发布了新产品——Ultra ECP GIII电镀设备,以支持化合物半导体(SiC, GaN)和砷化镓(GaAs) 晶圆级封装。该系列设备还能将金(Au)镀到背面深孔工艺中,具有更好的均匀性和台阶覆盖率。Ultra ECP GIII还配备了全自动平台,支持6英寸平边和V型槽晶圆的批量工艺,同时结合了盛美半导体的第二阳极和高速栅板技术,可实现最佳性能。盛美半导体设备董事长王晖表示:“随着电动汽车、5G通信、RF和AI应用的强劲需求,化合物半导体市场正在蓬勃发展。一直以来,化合物半导体制造工艺的自动化水平有限,并且受到产量的限制。此外,大多数电镀工艺均采用均匀性较差的垂直式电镀设备进行。盛美新研发的Ultra ECP GIII水平式电镀设备克服了这两个困难,以满足化合物半导体不断提升的产量和先进性能需求。”盛美的Ultra ECP GIII设备通过两项技术来实现性能优势:盛美半导体的第二阳极和高速栅板技术。第二阳极技术可通过有效调整晶圆级电镀性能,克服电场分布差异造成的问题,以实现卓越的均匀性控制。它可以应用于优化晶圆边缘区域图形和V型槽区域,并实现3%以内的电镀均匀性。盛美的高速栅板技术可达到更强的搅拌效果,以强化传质,从而显著改善深孔工艺中的台阶覆盖率,同时提升的步骤覆盖率可降低金薄膜厚度,从而为客户节约成本。盛美半导体的Ultra ECP GIII已取得来自中国化合物半导体制造商的两个订单。第一台订单设备采用第二阳极技术的铜-镍-锡-镀银模块,且集成真空预湿腔体和后道清洗腔体,应用于晶圆级封装,已于上月交付。第二台订单设备适用于镀金系统,将于今年下一季度交付客户端。
  • 第三方检测巨资布局半导体
    7月1日,苏州苏试试验集团股份有限公司发布“创业板向特定对象发行证券募集说明书(申报稿)”。募集说明书显示,苏试试验本次向特定对象发行股票募集资金总额不超过6亿元,主要用于扩建集成电路全产业链失效分析、宇航产品检测实验室、高端制造中小企业产品可靠性综合检测平台三个检测实验室。其中用于仪器设备购置和安装的投资金额预算近4亿元。投募项目苏试试验于2019年12月收购苏试宜特(上海)检测技术有限公司将公司可靠性试验服务的检测范围拓宽至集成电路领域,“面向集成电路全产业链的全方位可靠度验证与失效分析工程技术服务平台建设项目”的实施主体为发行人的全资子公司苏州苏试广博环境可靠性实验室有限公司。随着半导体投资金额越来越巨大、对设计失误的容忍度几乎为 0,因此必须在芯片进入量产之前、量产中,需要进行严格的验证测试,主要包括功能测试和物理验证等,通常又称为实验室测试或特性测试,这部分通常由第三方检测实验室为芯片设计公司提供服务,具体服务范围涵盖晶圆制造、集成电路(IC)设计、集成电路封装、终端产品等等。第三方半导体检测市场巨大近年来,越来越多的集成电路设计、晶圆制造企业放弃测试环节的产能扩充,而将其测试需求委托给第三方集成电路测试企业,独立的第三方集成电路测试企业正逐步成为集成电路产业链中不可或缺的一部分:一方面,第三方测试企业可以减少测试设备的重复投资,通过规模效应降低测试费用,缩减产品生产成本;另一方面,专业化分工下的第三方测试企业能够更加快速地跟进集成电路测试技术的更新,及时为集成电路设计、晶圆制造及封装企业提供多样化的测试服务。目前第三提供的检测服务通常包括可靠性分析(RA)、失效分析(FA)、晶圆材料分析(MA)、信号测试、芯片线路修改等,其中比较重要的包括可靠性分析、失效分析等。根据不同的分类标准,失效形式有多种类型,如根据电测结果,失效模式有开路、短路或漏电、参数漂移、功能失效等;根据失效原因可以分为电力过应、静电放电导致的失效、制造工艺不良导致的失效等。根据中国赛宝实验室的数据,在分立器件使用过程中的失效模式,开路、参数漂移、壳体破碎、短路、漏气的占比分别约为35%、28%、17%、15%、4%,集成电路使用过程中的失效模式,短路、开路、功能失效、参数漂移占比分别约为38%、27%、 19%、10%。失效分析主要为集成电路设计企业服务,而集成电路设计产业已成为引领中国半导体产业发展的重要环节。根据2019年中国半导体产业产值分布来看,IC设计业占比将达40.6%、IC制造占比约28.7%、IC封测占比约30.7%。根据中国集成电路设计业2019年会上发布的数据,2015-2019年中国集成电路设计企业分别为736、1362、1380、1698、1780家,年均复合增速达到24.7%,未来随着国内半导体产业的不断崛起,预计国内半导体设计企业数量仍将保持较快速增长。2019年IC设计销售收入达到3084.9亿元,同比2018年的2576.9亿元增长19.7%,在全球集成电路设计市场的比重首次超过10%。随着中国大陆半导体产业的迅猛发展,国内涌现出越来越多的上下游半导体企业,形成了一个强大的产业链,这些企业对实验室分析存在切实需求,但众多企业的需求量不足以投入百万或千万美元级的资金设立实验室和采购扫描电子显微镜等高端设备。另外,人员成本和技术门槛日益提高,在这种背景下第三方采购相关分析设备建立商业实验室应运而生。根据苏试宜特的预测,国内半导体第三方实验室检测行业未来3-5年的市场规模将达到 50亿元人民币,同时加上工业用、车用、医疗、军工电子产业上游晶圆制造到中下游终端产品验证分析的需求,估计2030年市场至少达150-200亿。相关仪器市场将爆发随着第三方半导体检测机构的兴起,IC企业的研发门槛和成本将大幅度降低,整个集成电路市场将持续发展,第三方半导体检测机构将采购大量的相关仪器设备以应对日益增长的半导体检测需求。与此同时,芯片制造生产技术快速发展迭代,新的技术对检测仪器设备提出了多样化需求,第三方检测机构需要不断进行仪器设备的更新换代,这将进一步促成相关仪器市场爆发。相关的检测项目如下:广义检测设计前道:晶圆生产中道:晶圆制造后道:晶圆封测切磨抛离子注入扩散镀膜抛光刻蚀曝光清洗第三方检测验证测试(可靠性分析、失效分析、电性测试、电路修改)WAT测试CP测试FT测试缺陷检测surface scan无图形缺陷检测有图形缺陷检测review SEME-Beam掩模版检测残留/沾污检测量测wafer-sites膜厚四探针电阻膜应力掺杂浓度关键尺寸套准精度几何尺寸测量测试有效性验证:对晶圆样品、封装样品有效性验证WAT测试:硅片完成所有制程工艺后的电性测试功能和电参数性能测试:CP测试(封装前)、FT测试(封装后)本次苏试试验集成电路检测的采购清单如下:序号设备/软件名称数量(台/套)总价(万元)1聚焦离子束11,4002双束聚焦离子束11,1003穿透式电子显微镜12,8004双束电浆离子束11,5005X 射线光电子能谱11,1006飞行时间二次离子质谱仪11,1007俄歇电子能谱仪17708傅立叶红外光谱仪12409超声波扫描显微镜246010超声波切割系统120011扫描电子显微镜21,60012粒子研磨系统115013立体显微镜428014阻抗测试仪115015奈米探针测试11,20016原子力显微镜1280173D 断层扫瞄11,00018多管脚集成电路耐静电测试22,60019集成电路耐静电测试21,40020多管脚集成电路自身充放电测试228021电压/电流检测仪228022雷射打标机12023离子蚀刻机18024老化系统超大功率21,68025老化系统中大功率21,20026低温老化系统中大功率132027老化系统多电源中大功率240028高加速应力测试系统中小功耗18029快速温变试验箱214030导通电阻评估系统15031老化系统中低功耗130032潮湿敏感度模拟设备回流焊14033高温反偏老练检测系统26034高温反偏老练检测系统25035高温高湿反偏老练检测系统210036间隙寿命老练检测系统216037高温反偏老练检测系统12038分离器件综合老练检测系统12039DC/DC 电源高温老练检测系统15040三端稳压器高温老练检测系统13041电容器高温电老练检测系统12542集成电路高温动态老练检测系统12543继电器都通测试仪11044颗粒碰撞噪声检测仪13545氦质谱检漏仪15046氦气氟油加压检漏装置19047数字电桥1248绝缘电阻测试仪1249漏电流测试仪1250耐电压绝缘测试仪1251温湿度偏压测试系统210052高加速温湿度偏压测试系统222053高低温实验/湿度循环/储存测试系统324054液态高低温冲击测试系统216055翘曲实验系统126056物理尺寸量测设备17057半导体分立器件测试系统(含自检模块)13258继电器综合参数测试仪14559混合信号测试仪112060超大规模集成电路测试系统15561电源模块测试系统15062Tester Handler113463数位模拟混合信号 IC 测试系统15064大规模数字集成电路 ATE 测试机140065冷却水塔16066空压机14067制水机14068空调系统120069环保设备23070环保设备12071设计软件19072信息管理软件190
  • 盘点|半导体常用失效分析检测仪器
    失效分析是芯片测试重要环节,无论对于量产样品还是设计环节亦或是客退品,失效分析可以帮助降低成本,缩短周期。常见的半导体失效都有哪些呢?下面为大家整理一下:显微镜分析OM无损检测金相显微镜OM:可用来进行器件外观及失效部位的表面形状,尺寸,结构,缺陷等观察。金相显微镜系统是将传统的光学显微镜与计算机(数码相机)通过光电转换有机的结合在一起,不仅可以在目镜上作显微观察,还能在计算机(数码相机)显示屏幕上观察实时动态图像,电脑型金相显微镜并能将所需要的图片进行编辑、保存和打印。金相显微镜可供研究单位、冶金、机械制造工厂以及高等工业院校进行金属学与热处理、金属物理学、炼钢与铸造过程等金相试验研究之用,实现样品外观、形貌检测 、制备样片的金相显微分析和各种缺陷的查找等功能。体视显微镜OM无损检测体视显微镜,亦称实体显微镜或解剖镜。是一种具有正像立体感的目视仪器,从不同角度观察物体,使双眼引起立体感觉的双目显微镜。对观察体无需加工制作,直接放入镜头下配合照明即可观察,成像是直立的,便于操作和解剖。视场直径大,但观察物要求放大倍率在200倍以下。体视显微镜可用于电子精密部件装配检修,纺织业的品质控制、文物 、邮票的辅助鉴别及各种物质表面观察等领域,实现样品外观、形貌检测 、制备样片的观察分析、封装开帽后的检查分析和晶体管点焊检查等功能。X-Ray无损检测X-Ray是利用阴极射线管产生高能量电子与金属靶撞击,在撞击过程中,因电子突然减速,其损失的动能会以X-Ray形式放出。而对于样品无法以外观方式观测的位置,利用X-Ray穿透不同密度物质后其光强度的变化,产生的对比效果可形成影像,即可显示出待测物的内部结构,进而可在不破坏待测物的情况下观察待测物内部有问题的区域。X-Ray可用于产品研发,样品试制,失效分析,过程监控和大批量产品观测等,实现观测DIP、SOP、QFP、QFN、BGA、Flipchip等不同封装的半导体、电阻、电容等电子元器件以及小型PCB印刷电路板,观测器件内部芯片大小、数量、叠die、绑线情况,芯片crack、点胶不均、断线、搭线、内部气泡等封装缺陷,以及焊锡球冷焊、虚焊等焊接缺陷等功能。C-SAM(超声波扫描显微镜)无损检测超声扫描显微镜是一种利用超声波为传播媒介的无损检测设备。在工作中采用反射或者透射等扫描方式来检查材料内部的晶格结构,杂质颗粒、夹杂物、沉淀物、内部裂纹、分层缺陷、空洞、气泡、空隙等。I/V Curve量测可用于验证及量测半导体电子组件的电性、参数及特性。比如电压-电流。集成电路失效分析流程中,I/V Curve的量测往往是非破坏分析的第二步(外观检查排在第一步),可见Curve量测的重要性。I/V Curve量测常用于封装测试厂,SMT领域等,实现Open/Short Test、 I/V Curve Analysis、Idd Measuring和Powered Leakage(漏电)Test功能。SEM扫描电镜/EDX能量弥散X光仪(材料结构分析/缺陷观察,元素组成常规微区分析,精确测量元器件尺寸)扫描电镜(SEM)SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可直接利用样品表面材料的物质性能进行微观成像。EDX是借助于分析试样发出的元素特征X射线波长和强度实现的,根据不同元素特征X射线波长的不同来测定试样所含的元素。通过对比不同元素谱线的强度可以测定试样中元素的含量。通常EDX结合电子显微镜(SEM)使用,可以对样品进行微区成分分析。在军工,航天,半导体,先进材料等领域中,SEM/EDX(形貌观测、成分分析)扫描电镜(SEM)可实现材料表面形貌分析,微区形貌观察,材料形状、大小、表面、断面、粒径分布分析,薄膜样品表面形貌观察、薄膜粗糙度及膜厚分析,纳米尺寸量测及标示和微区成分定性及定量分析等功能EMMI微光显微镜微光显微镜(Emission Microscope, EMMI)是常用漏电流路径分析手段。对于故障分析而言,微光显微镜(Emission Microscope, EMMI)是一种相当有用且效率极高的分析工具。主要侦测IC内部所放出光子。在IC元件中,EHP(Electron Hole Pairs)Recombination会放出光子(Photon)。如在P-N结加偏压,此时N阱的电子很容易扩散到P阱,而P的空穴也容易扩散至N,然后与P端的空穴(或N端的电子)做EHP Recombination。在故障点定位、寻找近红外波段发光点等方面,微光显微镜可分析P-N接面漏电;P-N接面崩溃;饱和区晶体管的热电子;氧化层漏电流产生的光子激发;Latch up、Gate Oxide Defect、Junction Leakage、Hot Carriers Effect、ESD等问题Probe Station 探针台测试探针台主要应用于半导体行业、光电行业。针对集成电路以及封装的测试。 广泛应用于复杂、高速器件的精密电气测量的研发,旨在确保质量及可靠性,并缩减研发时间和器件制造工艺的成本,可用于Wafer,IC测试,IC设计等领域。FIB(Focused Ion beam)线路修改FIB(聚焦离子束,Focused Ion beam)是将液态金属离子源产生的离子束经过离子枪加速,聚焦后照射于样品表面产生二次电子信号取得电子像,此功能与SEM(扫描电子显微镜)相似,或用强电流离子束对表面原子进行剥离,以完成微、纳米级表面形貌加工。在工业和理论材料研究,半导体,数据存储,自然资源等领域,FIB可以实现芯片电路修改和布局验证、Cross-Section截面分析、Probing Pad、 定点切割、切线连线,切点观测,TEM制样,精密厚度测量等功能。失效分析前还有一些必要的样品处理过程。取die用酸法去掉塑封体,漏出die decap(开封,开帽)利用芯片开封机实现芯片开封验证SAM,XRAY的结果。Decap即开封,也称开盖,开帽,指给完整封装的IC做局部腐蚀,使得IC可以暴露出来,同时保持芯片功能的完整无损,保持 die,bond pads,bond wires乃至lead-frame不受损伤,为下一步芯片失效分析实验做准备,方便观察或做其他测试(如FIB,EMMI), Decap后功能正常。化学开封Acid DecapAcid Decap,又叫化学开封,是用化学的方法,即浓硫酸及发烟硝酸将塑封料去除的设备。通过用酸腐蚀芯片表面覆盖的塑料能够暴露出任何一种塑料IC封装内的芯片。去除塑料的过程又快又安全,并且产生干净无腐蚀的芯片表面。研磨RIERIE是干蚀刻的一种,这种蚀刻的原理是,当在平板电极之间施加10~100MHZ的高频电压(RF,radio frequency)时会产生数百微米厚的离子层(ion sheath),在其中放入试样,离子高速撞击试样而完成化学反应蚀刻,此即为RIE(Reactive Ion Etching)。 自动研磨机自动研磨机适用于高精微(光镜,SEM,TEM,AFM,ETC)样品的半自动准备加工研磨抛光,模块化制备研磨,平行抛光,精确角抛光,定址抛光或几种方式结合抛光,主要应用于半导体元器件失效分析,IC反向等领域,实现断面精细研磨及抛光、芯片工艺分析、失效点的查找等功能。 其可以预置程序定位切割不同尺寸的各种材料,可以高速自动切割材料,提高样品生产量。其微处理系统可以根据材料的材质、厚度等调整步进电动机的切割距离、力度、样品输入比率和自动进刀比率等。去金球 De-gold bump,去层,染色等,有些也需要相应的仪器机台,SEM可以查看die表面,SAM以及X-Ray观察封装内部情况以及分层失效。除了常用手段之外还有其他一些失效分析手段,原子力显微镜AFM ,二次离子质谱 SIMS,飞行时间质谱TOF - SIMS ,透射电镜TEM , 场发射电镜,场发射扫描俄歇探针, X 光电子能谱XPS ,L-I-V测试系统,能量损失 X 光微区分析系统等很多手段,不过这些项目不是很常用。芯片失效分析步骤:1、非破坏性分析:主要是超声波扫描显微镜(C-SAM)--看有没delamination,xray--看内部结构,等等;2、电测:主要工具,万用表,示波器,sony tek370a3、破坏性分析:机械decap,化学 decap芯片开封机4、半导体器件芯片失效分析 芯片內部分析,孔洞气泡失效分析(原作者:北软失效分析赵工)
  • 【第二轮通知】2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛
    为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行协会、财联社等主办,复旦大学光电研究院等协办的“2024 中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”第二轮通知正式发布。会议将在于7月11-13日在上海虹桥举办,欢迎广大专家学者和企业高管积极参会,企业参展交流。你将有机会与500位来自科研院所、芯片设计制造与封测企业、半导体分析检测仪器与设备企业的专家教授和企业高管,共同研判半导体检测技术的发展趋势,共同碰撞产学研合作火花,共同对接面向产业市场和科研市场的高质量合作机遇。一、会议宗旨为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1、相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2、从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3、半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。二、会议主题1、集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件2、半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等3、半导体器件表征技术及其仪器,包括电学、光学、光电特性等表征及相关仪器4、半导体芯片表征技术及其设备,包括功能、性能、封装可靠性等表征及相关设备5、企业上下游供应链对接,科创型企业知识产权布局和保护6、企业与科研院所产学研合作,科研院所科研成果展示和发布三、参会人员1、利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;2、芯片设计行业、半导体材料和半导体前后道制造领域的企业管理者和技术负责人;3、半导体分析检测仪器与设备业管理者和技术负责人;4、半导体分析检测仪器与设备零部件制造企业的管理者和技术负责人。四、组织单位指导单位:中国技术创业协会、上海市经济和信息化委员会、上海市科学技术协会、上海虹桥商务区管理委员会、上海市闵行区人民政府主办单位:国家集成电路创新中心、上海市仪器仪表行业协会、财联社承办单位:复旦大学光电研究院、上海复创芯半导体科技有限公司、科创板日报、上海南虹桥投资开发(集团)有限公司协办单位:中国上海测试中心、上海市集成电路行业协会、上海市真空学会、上海电子学会智能仪器与设备专委会、上海市在线检测与控制技术重点实验室、上海理工大学光电学院、上海大学特种光纤与光接入网重点实验室、求是缘半导体联盟、复旦大学校友总会集成电路行业分会、上海段和段律师事务所特别报道:《CMG数字中国》融媒体节目支持媒体:仪器信息网、半导体综研、半导体行业联盟、上海真空学会官网、大同学吧、芯片揭秘支持期刊:半导体学报、自动化仪表五、已确认参会的专家/企业(持续更新中)六、会议信息1、会议时间:2024年7月11日-13日2、会议日程:日期时间活动议程7月11日14:00-20:00大会报到、展台布置7月12日09:00-12:00大会报告-113:30-17:30分会报告、墙报18:00-19:30晚宴、颁奖7月13日08:30-12:00分会报告、技术培训13:30-17:00大会报告-2、论坛、人才交流3、报告主题:报告主题主题一集成电路晶圆级缺陷检测技术主题二半导体封装及缺陷检测技术主题三高分辨显微技术及半导体应用主题四薄膜制备及椭圆偏振测试技术主题五X射线检测技术及半导体应用主题六光谱技术应用于半导体材料检测主题七功率器件、芯片缺陷检测技术主题八射频芯片检测及分析技术主题九半导体器件可靠性及失效分析技术主题十芯片、微纳器件形貌、热探测技术主题十一半导体光电器件、芯片检测技术主题十二AI技术应用于半导体分析检测(备注:会议议程持续更新,以现场实际安排为准)4、会议地点会议规模:500人左右会议地点:上海虹桥 新华联索菲特大酒店具体地址:上海市闵行区泰虹路666号(直线距离虹桥火车站、虹桥2号航站楼3公里)七、注册费用及报名名称费用(元/人)2024年6月25日前缴费2024年6月25日后及现场缴费会议代表23002800学生代表15001800(备注:注册费用包含大会期间的餐费、会议资料及纪念品等,不包含住宿费用)请扫描二维码 立刻在线报名请参会人员于2024年6月25日前微信扫码登记或填写附件3“会议参会回执表八、论文摘要/企业参展赞助1、会议论文摘要(详见附件1"会议论文摘要模板”)2、本次会议及论坛的参展与赞助(详见附件2"会议赞助权益清单”)(附件下载,详见文末)九、报名及赞助联系方式会议Emait:kjyzy@fudan.edu.cn院校师生报名及论文投递联系人:刘老师 139 1828 3051企业报名及赞助咨询联系人:徐老师 135 8571 1280报名缴费及发票确认联系人:王老师 178 2179 68082024中国检测技术与半导体应用大会_会议论文摘要模板_附件1.doc2024中国检测技术与半导体应用大会_会议赞助权益清单_附件2.pdf2024中国检测技术与半导体应用大会_参会确认表_附件3.docx
  • 马鞍山56个重大项目集中开工,含传感器、半导体封装测试项目等
    4月7日,2022年第二批安徽省重大项目集中开工动员会马鞍山分会场活动举行。图片来源:见马鞍山“见马鞍山”消息显示,马鞍山市第二批集中开工项目56个,总投资397.8亿元。其中包括德胜芯片封装及光学摄像头生产项目、智能超声波计量传感器研发制造项目、半导体封装测试项目等。以下是部分项目介绍:德胜芯片封装及光学摄像头生产项目该项目由安徽省中科达智能科技有限公司投资建设,总投资1.5亿元,租赁7500平方米厂房,建设芯片封装及光学摄像头生产线,年产芯片封装300万个,摄像头模组400万个。智能超声波计量传感器研发制造项目该项目由迈拓科技(安徽)有限公司投资建设,总投资10.6亿元,总建筑面积7.38万平方米,建设智能超声波水表生产线3条和智能超声波气表生产线1条,年产148万套仪表设备。半导体封装测试项目该项目总投资5.0亿元,租赁3万平方米厂房,建设半导体封测生产线8条,年产1.9亿个半导体元器件。
  • 2024中国检测技术与半导体应用大会——暨半导体分析检测仪器与设备发展论坛通知
    半导体产业的蓬勃发展对从事半导体分析检测仪器和设备的科研机构和企业提供了良好的发展机遇和更高的要求。把握这种机遇,满足这一要求,需要半导体应用及其分析检测领域的龙头高校科研院所、链主企业、供应链上企业、创新链上科研院所,使命担当、脚踏实地、合作共赢,创新产品,携手提高制程良率,提升材料、器件和芯片的可靠性、稳定性、一致性。为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行业协会和财联社主办,复旦大学光电研究研究院、复创芯和科创板日报等单位承办,中国上海测试中心、上海市集成电路行业协会等单协办的“2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”于2024年7月7-9日在上海虹桥举办。 本次会议旨在为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1.相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2.从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3.半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。会议主题包括:集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件;半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等;半导体器件表征技术及其仪器,包括电学、光学、光电特性等;半导体芯片表征技术及其设备,包括封装可靠性;企业与科研院所产学研合作对接;科研院所科研成果展示、发布。参会人员将有利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;半导体材料和半导体前道和后道制造领域内的企业管理者和技术负责人;半导体检测仪器与设备企业管理者和技术负责人;半导体检测仪器与设备零部件制造企业的管理者和技术负责人。长按识别二维码下载通知附件
  • 第三方半导体检测机构盘点
    近年来,越来越多的集成电路设计、晶圆制造企业放弃测试环节的产能扩充,而将其测试需求委托给第三方集成电路测试企业,独立的第三方集成电路测试企业正逐步成为集成电路产业链中不可或缺的一部分:一方面,第三方测试企业可以减少测试设备的重复投资,通过规模效应降低测试费用,缩减产品生产成本;另一方面,专业化分工下的第三方测试企业能够更加快速地跟进集成电路测试技术的更新,及时为集成电路设计、晶圆制造及封装企业提供多样化的测试服务。目前第三提供的检测服务通常包括可靠性分析(RA)、失效分析(FA)、晶圆材料分析(MA)、信号测试、芯片线路修改等,其中比较重要的包括可靠性分析、失效分析等。根据不同的分类标准,失效形式有多种类型,如根据电测结果,失效模式有开路、短路或漏电、参数漂移、功能失效等;根据失效原因可以分为电力过应、静电放电导致的失效、制造工艺不良导致的失效等。根据中国赛宝实验室的数据,在分立器件使用过程中的失效模式,开路、参数漂移、壳体破碎、短路、漏气的占比分别约为35%、28%、17%、15%、4%,集成电路使用过程中的失效模式,短路、开路、功能失效、参数漂移占比分别约为38%、27%、 19%、10%。失效分析主要为集成电路设计企业服务,而集成电路设计产业已成为引领中国半导体产业发展的重要环节。根据2019年中国半导体产业产值分布来看,IC设计业占比将达40.6%、IC制造占比约28.7%、IC封测占比约30.7%。根据中国集成电路设计业2019年会上发布的数据,2015-2019年中国集成电路设计企业分别为736、1362、1380、1698、1780家,年均复合增速达到24.7%,未来随着国内半导体产业的不断崛起,预计国内半导体设计企业数量仍将保持较快速增长。2019年IC设计销售收入达到3084.9亿元,同比2018年的2576.9亿元增长19.7%,在全球集成电路设计市场的比重首次超过10%。随着中国大陆半导体产业的迅猛发展,国内涌现出越来越多的上下游半导体企业,形成了一个强大的产业链,这些企业对实验室分析存在切实需求,但众多企业的需求量不足以投入百万或千万美元级的资金设立实验室和采购扫描电子显微镜等高端设备。另外,人员成本和技术门槛日益提高,在这种背景下第三方采购相关分析设备建立商业实验室应运而生。针对于此,小编特统计盘点了国内的一些半导体第三方检测机构,不完全统计仅供参考。除了以上这些第三方检测机构,封装测试企业往往也有对外的测试服务,主要是CP测试和FT测试,比如京元电子科技、日月光、Powertech Technology Inc、Amkor Technology Inc. 、Chipbond等都有相关服务。值得注意的是,仅涉及失效分析或可靠性试验的检测机构往往业务复杂,并非单纯的半导体或芯片第三方检测机构,其半导体业务仅为其一小部分业务,且多集中于元器件或LED领域,在IC领域涉足较少,这可能和集成电路检测与测试技术难度大有关。随着第三方半导体检测机构的兴起,IC企业的研发门槛和成本将大幅度降低,整个集成电路市场将持续发展,第三方半导体检测机构将采购大量的相关仪器设备以应对日益增长的半导体检测需求。与此同时,芯片制造生产技术快速发展迭代,新的技术对检测仪器设备提出了多样化需求,第三方检测机构需要不断进行仪器设备的更新换代,这将进一步促成相关仪器市场爆发。
  • 2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛第一轮会议通知
    国家集成电路创新中心上海市仪器仪表行业协会财联社 复创芯2024中国检测技术与半导体应用大会---暨半导体分析检测仪器与设备发展论坛第一轮会议通知半导体产业的蓬勃发展对从事半导体分析检测仪器和设备的科研机构和企业提供了良好的发展机遇和更高的要求。把握这种机遇,满足这一要求,需要半导体应用及其分析检测领域的龙头高校科研院所、链主企业、供应链上企业、创新链上科研院所,使命担当、脚踏实地、合作共赢,创新产品,携手提高制程良率,提升材料、器件和芯片的可靠性、稳定性、一致性。为促进半导体材料、器件和芯片领域科研院校,芯片设计、制造与封测企业,半导体分析检测仪器与设备企业,分析检测设备零部件供应企业之间的互动交流和融合创新,由国家集成电路创新中心、上海市仪器仪表行业协会和财联社主办,复旦大学光电研究研究院、复创芯和科创板日报等单位承办,中国上海测试中心、上海市集成电路行业协会等单协办的“2024中国检测技术与半导体应用大会暨半导体分析检测仪器与设备发展论坛”于2024年7月7-9日在上海虹桥举办。欢迎广大高校科研院所教师学生、业界专家、企业工程师、企业家报名参会。现将有关事项进一步通知如下:一、会议宗旨为提高产品质量,针对先进半导体材料、薄膜、器件、芯片等工艺控制和精确测试、测量分析技术,以及创新链、供应链合作机遇,主要探讨交流:1、相关科学技术应用现状、未来去哪里、怎么去实现、有哪些障碍及具体的需求,高校科研院所和企业在专业人才培养、产学研合作、技术成果转移转化等方面如何打通双向合作通道;2、从事半导体技术研究的高校科研院所,从事半导体制造的企业,从事半导体材料制造企业的研发水平提升、产品质量提高和未来发展方向等对半导体相关分析检测仪器与设备的需求;3、半导体分析检测仪器设备及其零部件产业发展现状如何、未来的方向、怎么去实现、有哪些障碍及相应的需求,供应链上下游企业合作机遇及合作方式等。二、会议主题1、集成电路、新能源、显示、LED、汽车电子领域中先进半导体工艺、器件2、半导体材料、薄膜表征技术及其仪器,包括SEM, TEM, XPS, AFM, XRD, SIMS等3、半导体器件表征技术及其仪器,包括电学、光学、光电特性等4、半导体芯片表征技术及其设备,包括封装可靠性5、企业与科研院所产学研合作对接6、科研院所科研成果展示、发布三、参会人员1、利用各种物理、化学、光学、微结构、电学等技术进行半导体材料、薄膜、器件、芯片制备研究及分析检测仪器与设备研发等领域(集成电路、新能源、显示、LED、汽车电子)研究的高校科研院所课题组长、系主任、院长和学生;2、半导体材料和半导体前道和后道制造领域内的企业管理者和技术负责人;3、半导体检测仪器与设备企业管理者和技术负责人;4、半导体检测仪器与设备零部件制造企业的管理者和技术负责人。四、组织单位指导单位:中国技术创业协会、上海市经济和信息化委员会、上海市科学技术协会主办单位:国家集成电路创新中心、上海市仪器仪表行业协会、财联社承办单位:复旦大学光电研究院、上海复创芯半导体科技有限公司、科创板日报协办单位:中国上海测试中心、上海市集成电路行业协会、上海市真空学会、上海电子学会智能仪器与设备专委会、上海市在线检测与控制技术重点实验室、上海理工大学光电学院、上海大学特种光纤与光接入网重点实验室、求是缘半导体联盟、复旦大学校友总会集成电路行业分会支持媒体:仪器信息网、半导体行业联盟、上海真空学会官网、大同学吧、芯片揭秘支持期刊:半导体学报、自动化仪表 2024年4月15日
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制