当前位置: 仪器信息网 > 行业主题 > >

量测设备

仪器信息网量测设备专题为您提供2024年最新量测设备价格报价、厂家品牌的相关信息, 包括量测设备参数、型号等,不管是国产,还是进口品牌的量测设备您都可以在这里找到。 除此之外,仪器信息网还免费为您整合量测设备相关的耗材配件、试剂标物,还有量测设备相关的最新资讯、资料,以及量测设备相关的解决方案。

量测设备相关的资讯

  • 半导体量测设备厂商优睿谱成功交付客户SICE200设备
    近日,上海优睿谱半导体设备有限公司(简称“优睿谱”)成功交付客户一款晶圆边缘检测设备SICE200,设备可用于硅基以及化合物半导体衬底及外延晶圆的边缘缺陷检测。SICE200外观图片据优睿谱总经理唐德明博士介绍,优睿谱本次推出的SICE200设备具有以下技术特点:兼容6&8寸SiC&Si衬底和外延晶圆边缘检测,也适用于其他化合物衬底及外延晶圆的边缘缺陷检测可同时实现对晶圆360°检测(晶圆正面、背面及边缘的缺陷检测)可同时实现对晶圆倒角和直径精确测量(可选)自主知识产权的光机系统可实现高分辨率、高检出率及高检测速率晶圆厚度、TTV/Warp/Bow等参数测量(可选)唐德明博士表示,在整机软件和缺陷检测算法层面,SICE200具备以下技术优势:图像增强技术:凸显边缘崩边、裂纹,表面划伤,污渍等缺陷特征丰富的条件组合判断参数化检测工具: 多种高精度的检测算法工具,具备高度的自适应能力,能够准确判断和识别缺陷类别,并准确分类晶圆倒角和直径测量技术:对边缘轮廓、晶圆直径精确测量、拟合、计算其倒角和直径尺寸功能模块化:检测软件功能模块化,可快速配置检测程式(Recipe),满足客户个性化的检测需求(可选)缺陷小图:数据留存,方便缺陷复查(Defect Review)SICE检测的典型的特征缺陷及倒角测量裂纹缺陷崩边缺陷沾污缺陷晶圆倒角参数测量此前,优睿谱已陆续推出国内首发半导体专用FTIR(傅立叶变换红外光谱)测量设备系列(部分型号目前已获得海外客户订单):适用于硅基外延层膜厚测量设备Eos200/Eos300适用于硅基元素浓度(B/P/F)测量设备Eos200+/Eos300+通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的算法实现对碳化硅外延层膜厚及外延缓冲层膜厚测量设备Eos200L通过优化的硬件设计(更新的红外光谱仪技术)配合自主开发的Global Fitting Algo. 算法技术实现碳化硅多层(≥3层)外延膜厚测量设备Eos200L+硅材料中C/O含量测量设备Eos200T优睿谱SICV200晶圆电阻率量测设备,实现了完全对标国外供应商测试性能及设备供应链的国产化目标。同时,针对碳化硅外延晶圆CV测量后有金属残留及压痕的行业痛点做了针对性创新开发,成功解决该行业痛点,目前已得到多家客户的订单。优睿谱针对碳化硅衬底晶圆位错及微管检测设备SICD200,实现了碳化硅位错检测的整片晶圆全检测,该设备已获得境外客户订单。优睿谱Eos200DSR设备,实现了SOI晶圆重掺顶层硅厚度测量。同时,可用于硅基铌酸锂厚度、晶圆背封LTO厚度及光刻胶厚度测量。优睿谱成立于2021年,由长期从事于半导体行业的海归博士领衔,协同国内资深的半导体前道制程量测设备技术团队共同发起成立,致力于打造高品质的半导体前道量测设备。
  • 半导体量测领域新增量测设备!中图仪器无图晶圆几何量测系统正式发布
    在晶圆制造前道过程的不同工艺阶段点,往往需要对wafer进行厚度(THK)、翘曲度(Warp)、膜厚、关键尺寸(CD)、套刻(Overlay)精度等量测,以及缺陷检测等;用于检测每一步工艺后wafer加工参数是否达到设计标准,以及缺陷阈值下限,从而进行工艺控制与良率管理。半导体前道量检测设备,要求精度高、效率高、重复性好,量检测设备一般会涉及光电探测、精密机械、电子与计算机技术,因此在半导体设备中,技术难度高。在wafer基材加工阶段,从第一代硅,第二代砷化镓到第三代也是现阶段热门的碳化硅、氮化镓衬底都是通过晶锭切片、研磨、抛光后获得,每片衬底在各工艺后及出厂前,都要对厚度、翘曲度、弯曲度、粗糙度等几何形貌参数进行系统量测,需要相应的几何形貌量测设备。下图为国内某头部碳化硅企业产品规范,无论是production wafer,research wafer,还是dummy wafer,出厂前均要对几何形貌参数进行量测,以保证同批、不同批次产品的一致性、稳定性,也能防止后序工艺由于wafer warpage过大,产生碎片、裂片的情况。中图仪器针对晶圆几何形貌量测需求,基于在精密光学测量多年的技术积累,历经数载,自研了WD4000系列无图晶圆几何量测系统,适用于线切、研磨、抛光工艺后,进行wafer厚度(THK)、整体厚度变化(TTV)、翘曲度(Warp)、弯曲度(Bow)等相关几何形貌数据测量,能够提供Thickness map、LTV map、Top map、Bottom map等几何形貌图及系列参数,有效监测wafer形貌分布变化,从而及时管控与调整生产设备的工艺参数,确保wafer生产稳定且高效。晶圆制造工艺环节复杂,前道制程所需要的量检测设备种类多、技术难度高, 因此也是所有半导体设备赛道中壁垒最高的环节。伴随半导体制程的演进,IC制造对于过程管控的要求越来越高,中图仪器持续投入开发半导体量检测设备,积极倾听客户需求,不断迭代技术,WD4000系列在诸多头部客户端都获得了良好反响!千淘万漉虽辛苦,吹尽狂沙始到金。图强铸器、精准制胜,中图仪器与中国半导体产业共同成长。
  • 晶合集成与上海精测签署20台量测国产设备采购意向
    7月9日,晶合集成与上海精测半导体技术有限公司(以下简称上海精测)举行EPROFILE 300FD量测机台采购意向签约仪式。历经短短一年的测试、验证,及成功导入生产,EPROFILE 300FD量测机台各方面性能已达到国际水准。晶合集成计划在晶合三期及后续新增产能中大量引进20台,将有效降低设备采购及维保成本。晶合集成一直不遗余力推进本土化发展,目前在原材料领域已实现90%由国内厂商供应。在设备领域,晶合集成已大量引入北方华创、中微、拓荆、华海清科、至纯、盛美、屹唐、合肥御微、中科飞测、天芯微、邑文等国内设备厂商。未来,晶合集成还将与上海精测在扫描电子显微镜、明场缺陷检测机、WAT测试机、良率测试机等领域进行合作,以期不断提升设备国产化比例,持续优化运营成本,构建安全稳定供应链生态体系。据悉,EPROFILE 300FD量测机台是上海精测在成立三周年之际推出的国内首台12寸独立式光学线宽测量设备(OCD)。该设备主要用于45nm以下、特别是28nm平面CMOS工艺的量测,并可以延伸支持上述先进工艺节点的快速线宽测量。EPROFILE 300FD测量系统拥有完全自主知识产权,包括宽谱全穆勒椭偏测头、对焦对位系统、系统软件等核心零部件均为自主研发,是真正意义上的高端国产化机台。
  • 首台国产12寸Online外延膜厚量测设备即将交付!
    盖泽华矽半导体科技(上海)有限公司(以下简称:盖泽半导体)继向多家客户批量交付8、6寸(硅/碳化硅)外延膜厚量测设备后,年初又与国内知名头部晶圆生产企业签订12寸Online外延膜厚量测设备订单。近日,盖泽半导体宣布,由公司自主开发的,12寸量测设备GS-A12X即将交付。该设备为国内首台12寸Online外延膜厚量测设备,可精确测量多种晶圆材料外延膜厚,并可确保测量的精准性、安全性。晶圆制备包含了衬底制备和外延工艺两大环节,外延是指在单晶衬底上生长一层新单晶的过程。外延工艺可能受到各种条件因素影响出现厚度不均的情况,如衬底温度、反应腔气压、反应生长物及晶圆片表面清洗过程等。如果外延厚度不均位于晶圆片表面制作晶体管器件的有源区域,将导致器件失效。所以晶圆在通过外延工艺制备后,使用膜厚测量设备对外延的厚度均匀性进行测量尤为重要。GS-A12X使用了行走轴双臂洁净机械手,同时测量单元使用全新设计的Stage平台,可选择吸附或者夹持方式,更大程度上兼容客户应用场景,双臂机械手和Stage的配合,使得GS-A12X测量效率提高至少30%;气浮平台的设计应用减少了震动对于测量的影响,使得测量数据更加稳定;GS-A12X设备整体使用模块化设计,减少了开发周期,提高了装配效率,缩短了设备的维护时间,定制化设计让GS-A12X更懂客户。该设备基于FTIR红外光谱技术,可以在线监测晶圆外延制造过程中的实时数据,并提供高精度的测试结果。其主要特点包括以下方面:高效快速:采用快速扫描技术,能够在短时间内获得高精度的测试数据,提高生产效率;非侵入式检测:采用红外光谱技术,不会对晶圆造成任何损伤和影响,保证测试数据真实可靠;可靠性高:采用优质材料和先进技术,保证设备稳定性和可靠性;数据分析:设备自带数据分析软件,可以实现数据可视化,帮助用户更好地理解晶圆的性能和特性;定制化功能开发:针对客户应用的痛点定制开发,让系统更懂客户。Online在线技术本次交付的设备增加了Online在线技术。该设备遵循SEMI标准协议,可无缝连接客户OHT/MES等系统。同时,设备实现检测自动化控制,具备智能化控制和自动化运行功能,降低人力成本,提高生产效率。大尺寸晶圆检测技术相比6、8寸晶圆量测设备,12寸晶圆量测设备在自动化、通讯、算法等多个方面都需要更高的技术支持,全新推出的GS-A12X设备打破专业壁垒,使用更先进的检测技术,满足晶圆厂对12寸大尺寸晶圆的检测需求,帮助晶圆厂降本增效。近年来,国家层面始终坚定地强调集成电路产业的重要性和产业链自主可控的必要性,并从政策和市场两方面推动行业发展,半导体产业本土化已成为趋势。盖泽半导体专注于半导体前道量测设备的研发及应用,赋能中国半导体行业智能制造。
  • 试论晶圆关键尺寸量测手段和设备的“三体”混动时代
    电子束光刻(EBL)手段,自从其超级高手MAPPER和EUV光刻PK完败之后,一直怀才不遇地降维转战至量测领域,凭借其高贵的光刻血统,完成量测可以说是“手拿把掐”;晶圆Fab发展到65nm技术节点阶段,对以栅极宽度为典型对象的量测技术上,电子束手段以其独树一帜的分辨率、自动化、稳定性和高通量的特征,是无可争议,不能替代的独门武艺;电子束关键尺寸(Critical Dimension)量测设备厂家的竞争也到了白热化阶段;异军突起的中国人技术和设备-汉民微测HMI,凭借扎实的技术创新和对用户痛点的逐一攻克,借助一次Intel晶圆厂验证试机的良机,大秀肌肉,赢得了接下来多家IDM大厂的八成以上设备采购,竟将KLA这样的量测设备巨兽挤出了电子束市场,迫使他们暂时关闭了电子束量测部门。近年来随着半导体行业步伐的加快,由于今天的量测要求比历史上的关键尺寸测量要全面得多,所以半导体晶圆制造行业已经采用了具有各种尺寸量测能力的手段:非电子束光源的量测技术从物理规律的前后两端夹击,不断缩短靠近电子束的分辨率领地:从下方而来的光学量测OCD设备,凭借激光器技术的突破和晶圆光刻光源EUV的降维下放量测(日本公司技术),还有在不需要真空和对环境干扰比起电子束不敏感的先天优势,已经在28nm节点量测稳定发挥(以色列公司技术),并利用和飞秒等离子光刻技术(FPL)一个思维路线的脑洞,突破至14nm量测(新加坡公司技术30mW-1340nm/1320nm/1064nm),逐步挑衅逼近,最终和电子束量测领地短兵相接;而从上方而至的物理探针量测AFM等工具,借助其天然的分辨特长,和来自隧道探针显微术(STM)量子力学的底气,借助其与纳米压痕光刻技术(NIL)一样的思维角度,轻松完成了已经成为电子束瓶颈的极限尺寸量测任务。明眼人不难看出,只用一类量测手段和工具无法在线量测工艺规范所要求的所有关键尺寸。为了规避这种情况,工艺开发通常使用破坏性量测手段 - 横截面电子显微术(X-SEM),透射电子显微术(TEM)等进行尺寸表征(Thermo Fisher主要供货)。这些离线工具速度慢、成本高昂,并且采样和量测的整体通量低下,是不得已的选择。先进的工艺需要精确量测复杂结构上的多个复杂细节,随着FinFET、3D-NAND、Multi-Pattern、DRAM等令人乍舌的复杂沟槽结构的出现,以及IBM骤然发布的GAA 2nm变态制程节点,例如侧壁角度(Side Wall Angle),轮廓(Profile),垫片宽度(Sapcer Widths),垫片下拉(Spacer Pull-Down),外延接近(Epitaxial Proximity),基础/底切(footing /undercut),溢出/底部填充(overfill /underfill )等,而且所有这些特征的尺寸都需要控制在单微束埃的精度水平。为了应对这些不断增长的量测挑战,晶圆厂没有比任何时候更加需要通过引入混合量测技术(Hybrid Metrology),合体使用来自多种设备类型的量测手段,以实现或改进一个或多个关键参数的测量,来彻底改变这一怪兽级别行业的尺寸量测功能的需求。图中描述了量测对象及虚拟混合量测生态系统设想。现在是时候电子束量测低下高贵的头颅了,因为只有合体混动式量测技术和设备,才能把从不同工具获得的数据集合在一起,拿到量测对象的关键的优质的信息,更好地全面细致地了解晶圆的光刻及整体制造过程。以OCD,SEM和AFM这“三体”集成的横跨光源分辨率限制的混合式量测手段和设备,可以毫不夸张地成为晶圆量测的“革命性”方法,通过焊接三类工具的强项,从而可以分离每个单项工具中严重耦合的参数。混合量测技术对晶圆关键尺寸这朵小花实施了几种不同技术维度的交叉施肥。特别需要承认的是:一个量测手段可以提供另两个无法拿出的样品信息,反之亦然。这样的“三体”手段既可以从所有工具上获得相对独立的通用信息,也可将这“三体”相互交叉、引用以提高最终数据的准确性。换句话说就是:参数之间的干扰相关性降低,从而获得了更好的准确性。让我们把这个脑洞接着开大,就是发挥“三体”量测技术和设备工具的平衡术:由于混动量测技术结合了来自不同手段的信息,因此通常有一种更有效率的方法可以将每个手段按其所长分配给样品,来自一类工具和手段的数据可以与另一类交换,并以互补或协同的方式使用,在速度和测量精度方面提高其整体性能。图中的仿真模拟算法为我们显示了混合量测技术的引入是如何解耦两个几何参数的(SWA和TCD),对比这两个参数在没有混合量测技术的情况下是如何以非物理方式耦合的。综上所述,混合量测技术和设备使晶圆厂能够成功量测目前难以使用单个工具可靠量测的复杂结构;通过执行混合量测技术,可以获得增强的量测性能,重拾晶圆量测顶到技术天花板而逐渐失去的信心,是晶圆量测手段和设备的未来。
  • 精测电子签下1.73亿大单,量检测设备国产化之路行至何方
    近期,精测电子(300567.SZ)发布公告称,旗下控股子公司深圳精积微近日与客户签订了销售合同,拟向客户出售半导体前道检测设备,总交易金额合计1.73亿元。2022年精测电子全年半导体业务实现营收1.83亿元,也就是说这次的销售合同几乎相当于2022年公司全年半导体业务收入体量,若合同成功执行对公司业绩将产生重大利好。精测电子也表示,本合同的签署,是公司与该客户在良好合作基础上进一步加深了双方的合作关系,体现了客户对公司半导体测试设备的高度认可,有助于拓展公司品牌影响力,提升公司的市场竞争力。若本合同能顺利履行,预计将会对公司经营成果产生积极影响。近年来,精测电子的主业显示面板检测设备的市场已经饱和,公司切入半导体与新能源的检测设备赛道,欲寻求新的增长点。01国产替代逻辑继续强化从2019年到现在,中美贸易摩擦不断,美国的集成电子发展一系列限制措施主要通过限制半导体设备来卡芯片制造的发展。因为半导体产业链中最核心的基石就是设备,没有设备就无法生产芯片,因此国内半导体产业受到政策大力扶持。在诸多半导体设备中,量/检测设备是半导体生产各制程中的必备环节,能够保证生产线量产的同时确保产品良率,可以说贯穿晶圆制造全过程。但是,量/检测设备市场基本被外企垄断,2020年KLA以52%的市场占比稳居第一。回溯KLA的成长路线,拥有极高的回报趋势,2010-2022年净利润从2.12亿美元增长至33.22亿美元,年复合增长率达到25.76%。KLA能够稳坐行业寡头,原因就在于量/检测设备行业的技术壁垒极高,这也导致国产化率极低,目前国内量/检测设备的生产厂家没有一家能够实现过程工艺的全覆盖。精测电子属于国内半导体量/检测设备的龙头企业,是覆盖前道制程的量/检测设备最多的企业之一。从行业细分来看,纳米图形晶圆缺陷检测设备的市场规模最大,可达18.9亿美元,目前精测电子已实现量产,同时中科飞测也在研发阶段;关键尺寸量测设备仅精测电子一家可投入使用,目前设备市场规模可达16亿美元;晶圆介质薄膜量测设备方面,虽然精测电子、中科飞测、上海睿励均实现量产,但整体市场放量不足,当前市场规模为2.3亿美元。需要指出,随着国产替代逻辑继续强化,上游国内晶圆制造厂商每年的资本开支保持在高位,量/检测设备行业同步迎来发展窗口期。根据Omdia预测数据,2021-2025年本土主要晶圆制造厂商中芯国际、华虹、长江存储、长鑫存储、华润微每年的资本开支将继续保持在110-130亿美元,有望进一步推动上游半导体检测设备行业的发展。精测电子的债券募集说明书引用Tech Insights统计数据,2021年国内半导体检测和量测设备市场规模为25.8亿美元,预计2022年国内半导体检测设备市场规模将达31.1亿美元。精测电子或能受益于行业增长,以及自身累积的技术壁垒提高市占率。但能否复制KLA的高回报成长路线?技术壁垒攻克依旧是公司需要克服的最大困难。02半导体或成为第二成长曲线精测电子主营业务以测试设备为核心,覆盖“半导体+显示+新能源”三大领域。其中半导体业务持续突破,2019-2022年营业收入分别为0.05亿元、0.65亿元、1.36亿元、1.83亿元,总收入占比也从2019年的0.2%逐步提高至2022年的6.7%。精测电子半导体业务能否保持业绩的高速增长?或者说行业内的量/检测设备订单是否如预期在增加?一方面,从公司半导体业务的在手订单来看,截止2022年报披露日,公司半导体业务在手订单8.91亿元,约是2022年公司半导体业务收入体量(1.83亿元)的5倍,业绩加速未来可期。另一方面,财务数据中预收款项和合同负债也能体现下游晶圆制造厂商对量/检测设备的需求。合同负债指的是企业在转让承诺的商品之前已收取的款项,2022年精测电子的合同负债也出现了高增长,达到1.99亿元,同比增长了161%。不仅是精测电子一家出现这样的情况,半导体量检测设备国产龙头中科飞测(688361.SH)2022年合同负债金额达到4.85亿元,同比增长211%。两者数据交叉验证下游晶圆厂制造商的快速扩张,提振了对量检测设备的需求。但是,下游需求增长但并未让精测电子实现盈利的攀升,反之,净利率不断下滑从2018年的21.81%下降至2023第一季度的0.31%。原因不难猜测,半导体属于典型的资金、技术密集型行业,一句话解释就是投资半导体“太烧钱”。据SEMI数据, 一条半导体产线中,半导体设备投资占比高达80%,厂房和其他支出仅占20%。精测电子在研发上投入了大量真金白银。研发费用从2018的1.72亿元增长到2022年的5.74亿元,2023第一季度研发费用率达到了23%,公司目前在半导体新业务仍处于高投入期。2022年研发人数达到1655人,占总人数的51.24%。不过好消息是,公司部分量产产品规模效应的显现,半导体检测设备的毛利率快速持续攀升,2019-2022毛利率分别为29.56%、38.16%、37.02%、51.14%。中泰证券在研报中指出,毛利率的提升得益于公司膜厚测量设备等半导体产品类别营收占比提升。除了量产带来的规模效应,单价提升可能也是毛利率提高的原因,精测电子并没有披露这部分数据,但可以从中科飞测招股书中找到相应的数据进行验证。2020-2022年,中科飞测的检测、量测设备的平均单价分别上涨了47.4%、33.89%。毛利率提升、在手订单与合同负债增长、产品平均单价上涨,可见公司半导体量/检测设备在核心产品上陆续取得了客户的认可,也说明公司议价能力在提升。国内设备厂商逆周期增长,但仍需警惕全球半导体设备行业景气度下行风险。此外值得注意的是,除了半导体行业高增长,精测电子在新能源设备上开辟了新增长极,2022年新能源业务实现营收3.43亿元,同比增长561.64%,毛利率为31.37%。新能源业务在手订单约4.82亿元,在手订单充沛,也有望为公司业绩注入增量。
  • 晶诺微亮相慕尼黑光博会,为半导体工艺提供国产先进量检测设备
    晶诺微亮相慕尼黑光博会,为半导体工艺提供国产先进量检测设备中国上海,2024年3月29日 —— 在与Semicon China同期举办的2024慕尼黑上海光博会上,晶诺微(上海)科技有限公司(以下简称晶诺微)首度现身行业展会,将公司自主研发的光学量测设备和半导体量检测设备展示给专业参会者,且在现场展开深入地互动交流。伴随半导体市场的持续扩增以及国产化进程的不断加快,半导体量检测设备行业展现出蓬勃发展的良好态势。于技术层面而言,半导体量检测设备不断实现技术突破与创新,高精度、高速度、高稳定性成为当下乃至未来量检测设备的关键特点,在线、自动化、非接触式、纳米级测量乃是主要方向,其不仅提高了半导体制造的精度与效率,同时还降低了生产成本,为半导体产业的迅速发展提供有力支撑。于市场需求层面,伴随 5G、物联网、人工智能等全新 ICT 信息技术的高速进步,半导体市场需求持续上扬,进而促使量检测设备市场得以扩大。半导体量检测纵贯晶圆制造和芯片封装的整个过程。量检测的主要作用在于生产出符合关键物理参数的芯片并对工艺进行优化,从而能够快速精确地进行工艺控制以及良率管理。量测主要涵盖薄膜材料的厚度、光刻过程中关键尺寸的测量、晶圆厚度及弯翘曲测量等等;检测主要包含无图形缺陷、有图像缺陷、掩模版缺陷、缺陷复检等等。光学量测设备QUASAR R100是一款体积小巧的光谱反射式膜厚、折射率测量仪器,操作简单,极易上手,广泛应用于半导体、太阳能、LED、OLED、液晶、聚合物镀膜及科研实验室镀膜等领域。Quasar R100软件拥有丰富的材料数据库,客户还可以通过软件及自带数据库对材料、菜单进行管理,并具有丰富的数据查看、统计功能。QUASAR E100是一款桌面式光谱椭偏膜厚仪,它为客户提供更加准确和更加稳定的厚度和折射率测量,广泛应用于科研、半导体、液晶、太阳能制造等领域,适用于对厚度和折射率测量有更高精度要求的应用场景。半导体量检测设备晶诺微的半导体量测设备包括光学薄膜测量设备QUASAR S系列和晶圆厚度及翘曲度测量设备ZMET,检测设备包括缺陷检测设备PULSAR系列。QUASAR S系列应用于集成电路芯片制造生产线上的光学膜厚测量设备,适用于6、8、12吋生产线,产品技术成熟,测量精度高,测量速度快,技术上已达到或超越国际同类竞争产品水平,可实现纳米级厚度测量。半导体中缺陷检测是半导体制造过程中不可或缺的环节,对于提高产品质量、降低成本、提高生产效率、保障安全性和促进技术进步都具有重要意义。晶诺微缺陷检测设备PULSAR 系列包括L系列和H系列,PULSAR L 系列及 PULSAR H 系列是应用于电子、半导体工业领域的如WLP(晶圆级封装)、PLP(面板级封装)、晶圆制造前端工艺等,可实现从低分辨率到高分辨率的缺陷检测、分类、定位测量等功能。本次参展2024慕尼黑上海光博会是晶诺微初次在行业展会亮相,公司自 2021 年 8 月成立以来,专注于光学检测和测量相关领域,致力于设计、研发、生产制造及技术服务。其作为设备、仪器生产商,通过提供定制化的设备及工艺解决方案,切实满足了客户需求,成功实现了客户生产效率的提高、产品良率的提升以及生产成本的降低。在未来,晶诺微/ZENO 将继续秉持创新理念,不断追求卓越,为行业发展注入新的活力,助力相关产业迈向更高的台阶。关于晶诺微/ZENO晶诺微(上海)科技有限公司(简称晶诺微/ZENO)成立于2021 年8月,是一家从事与光学检测和测量(Metrology and Inspection)相关的设计、研发、生产制造以及相关技术服务的设备、仪器生产商,为客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。公司研发团队由光学测量、检测领域具有丰富研发、制造经验的技术人才组成,具备深厚的技术积累以及行业经验公司聚焦于电子、研发、半导体等相关领域的测量、检测技术,致力于打造先进的仪器设备产品。
  • 晶诺微亮相慕尼黑光博会,为半导体工艺提供国产先进量检测设备
    中国上海,2024年3月29日 —— 在与Semicon China同期举办的2024慕尼黑上海光博会上,晶诺微(上海)科技有限公司(以下简称晶诺微)首度现身行业展会,将公司自主研发的光学量测设备和半导体量检测设备展示给专业参会者,且在现场展开深入地互动交流。伴随半导体市场的持续扩增以及国产化进程的不断加快,半导体量检测设备行业展现出蓬勃发展的良好态势。于技术层面而言,半导体量检测设备不断实现技术突破与创新,高精度、高速度、高稳定性成为当下乃至未来量检测设备的关键特点,在线、自动化、非接触式、纳米级测量乃是主要方向,其不仅提高了半导体制造的精度与效率,同时还降低了生产成本,为半导体产业的迅速发展提供有力支撑。于市场需求层面,伴随 5G、物联网、人工智能等全新 ICT 信息技术的高速进步,半导体市场需求持续上扬,进而促使量检测设备市场得以扩大。半导体量检测纵贯晶圆制造和芯片封装的整个过程。量检测的主要作用在于生产出符合关键物理参数的芯片并对工艺进行优化,从而能够快速精确地进行工艺控制以及良率管理。量测主要涵盖薄膜材料的厚度、光刻过程中关键尺寸的测量、晶圆厚度及弯翘曲测量等等;检测主要包含无图形缺陷、有图像缺陷、掩模版缺陷、缺陷复检等等。光学量测设备QUASAR R100是一款体积小巧的光谱反射式膜厚、折射率测量仪器,操作简单,极易上手,广泛应用于半导体、太阳能、LED、OLED、液晶、聚合物镀膜及科研实验室镀膜等领域。Quasar R100软件拥有丰富的材料数据库,客户还可以通过软件及自带数据库对材料、菜单进行管理,并具有丰富的数据查看、统计功能。QUASAR E100是一款桌面式光谱椭偏膜厚仪,它为客户提供更加准确和更加稳定的厚度和折射率测量,广泛应用于科研、半导体、液晶、太阳能制造等领域,适用于对厚度和折射率测量有更高精度要求的应用场景。半导体量检测设备晶诺微的半导体量测设备包括光学薄膜测量设备QUASAR S系列和晶圆厚度及翘曲度测量设备ZMET,检测设备包括缺陷检测设备PULSAR系列。QUASAR S系列应用于集成电路芯片制造生产线上的光学膜厚测量设备,适用于6、8、12吋生产线,产品技术成熟,测量精度高,测量速度快,技术上已达到或超越国际同类竞争产品水平,可实现纳米级厚度测量。半导体中缺陷检测是半导体制造过程中不可或缺的环节,对于提高产品质量、降低成本、提高生产效率、保障安全性和促进技术进步都具有重要意义。晶诺微缺陷检测设备PULSAR 系列包括L系列和H系列,PULSAR L 系列及 PULSAR H 系列是应用于电子、半导体工业领域的如WLP(晶圆级封装)、PLP(面板级封装)、晶圆制造前端工艺等,可实现从低分辨率到高分辨率的缺陷检测、分类、定位测量等功能。本次参展2024慕尼黑上海光博会是晶诺微初次在行业展会亮相,公司自 2021 年 8 月成立以来,专注于光学检测和测量相关领域,致力于设计、研发、生产制造及技术服务。其作为设备、仪器生产商,通过提供定制化的设备及工艺解决方案,切实满足了客户需求,成功实现了客户生产效率的提高、产品良率的提升以及生产成本的降低。在未来,晶诺微/ZENO 将继续秉持创新理念,不断追求卓越,为行业发展注入新的活力,助力相关产业迈向更高的台阶。关于晶诺微/ZENO晶诺微(上海)科技有限公司(简称晶诺微/ZENO)成立于2021 年8月,是一家从事与光学检测和测量(Metrology and Inspection)相关的设计、研发、生产制造以及相关技术服务的设备、仪器生产商,为客户提供定制化的设备及工艺解决方案,有效提升客户的生产效率、提升产品良率并降低生产成本。公司研发团队由光学测量、检测领域具有丰富研发、制造经验的技术人才组成,具备深厚的技术积累以及行业经验公司聚焦于电子、研发、半导体等相关领域的测量、检测技术,致力于打造先进的仪器设备产品。
  • 这些检测仪器广东省采购量独占鳌头 ——半导体仪器设备中标市场盘点系列之前道量测篇
    前道量检测根据测试目的可以细分为量测和检测。量测主要是对芯片的薄膜厚度、关键尺寸、套准精度等制成尺寸和膜应力、掺杂浓度等材料性质进行测量,以确保其符合参数设计要求;而检测主要用于识别并定位产品表面存在的杂质颗粒沾污、机械划伤、晶圆图案缺陷等问题。广义上的半导体检测设备,分为前道量测(又称半导体量测设备)和后道测试(又称半导体测试设备)。前道量检测主要用于晶圆加工环节,目的是检查每一步制造工艺后晶圆产品的加工参数是否达到设计的要求或者存在影响良率的缺陷,属于物理性的检测。仪器信息网近期特对一年内半导体前道检测用光学显微镜、聚焦离子束、电子显微镜、四探针和椭偏仪的中标讯息整理分析,供广大仪器用户参考。(注:本文搜集信息全部来源于网络公开招投标平台,不完全统计分析仅供读者参考。)各月中标量占比2019年10月至2020年9月,根据统计数据,检测设备的总中标数量为142台。2019年10月至2020年1月,平均中标量约15台每月。2020年2月,由于疫情影响,半导体量测仪器市场低迷。从2020年3月起,随着国内疫情稳定以及企业复产复工和高校复学的逐步推进,光刻设备市场逐渐回暖,其中9月产品中标量高达21台。招标单位地区分布本次盘点,招标单位地区分布共涉及25个省份、自治区及直辖市。其中,广东省采购量最多,达33台,远超其他地区。在广东省的采购中,以光学显微镜和四探针设备为主,采购单位多数为高校。采购单位性质分布从光刻设备的招标采购单位来看,高校是采购的主力军,采购量占比高达63%,企业和科研院所的采购量分别占比17%和20%。值得注意的是,企业和科研院所采购检测设备的平均价格较高。这表明,前道检测设备主要用户集中于研发领域。各类检测设备占比从各类前道检测设备占比来看,根据搜集到的中标数据可知,四探针、椭偏仪、电子显微镜和光学显微镜占比分别为32%、25%、22%和18%。这里的光学显微镜包含了金相显微镜和体视显微镜。值得注意的是,企业采购以电子显微镜为主,而高校采购则以四探针为主,科研院所各类设备采购数量差距不大。本次设备中标盘点,涉及椭偏仪品牌有颐光科技、SEMILAB、J.A.Woollam、海瑞克、HORIBA等;四探针品牌有广州四探针、瑞柯、苏州晶格、海瑞克、品鸿科技、海尔帕、普西工业、Napson Corporation、三菱等。其中,各品牌比较受欢迎的产品型号有:HORIBA UVISEL 研究 级经典型椭偏仪 这款仪器是HORIBA公司20多年技术积累和发展的结晶,是一款高准确性、高灵敏度、高稳定性的经典椭偏机型。即使在透明的基底上也能对超薄膜进行精确的测量。采用PEM相位调制技术,与机械旋转部件技术相比,能提供更好的稳定性和信噪比。同时,这款仪器提供多种光谱范围选择,还针对紫外、可见和近红外提供优化的PMT和IGA探测器。 FT-330 系列四 探针测试 仪 FT-330系列普通四探针方阻电阻率测试仪是按照硅片电阻率测量的国际标准(ASTM F84)及国家标准设计制造该仪器设计符合GB/T 1551-2009 《硅单晶电阻率测定方法》、GB/T 1551-1995《硅、锗单晶电阻率测定直流两探针法》、GB/T 1552-1995《硅、锗单晶电阻率测定直流四探针法》并参考美国 A.S.T.M 标准设计。本机配置232电脑接口及USB两种接口,采用范德堡测量原理能改善样品因几何尺寸、边界效应、探针不等距和机械游移等外部因素对测量结果的影响及误差,比市场上其他普通的四探针测试方法更加完善和进步,特别是方块电阻值较小的产品测量,更加准确。FT-341 双电测 电四探针 方阻电阻率测试仪 这款仪器采用四探针双电测量方法,适用于生产企业、高等院校、科研部门,是检验和分析导体材料和半导体材料质量的一种重要的工具。同时,仪器配置各类测量装置可以测试不同材料。双电测数字式四探针测试仪是运用直线或方形四探针双位测量。该仪器设计符合单晶硅物理测试方法国家标准并参考美国 A.S.T.M 标准。利用电流探针、电压探针的变换,进行两次电测量,对数据进行双电测分析,自动消除样品几何尺寸、边界效应以及探针不等距和机械游移等因素对测量结果的影响,它与单电测直线或方形四探针相比,大大提高精确度,特别是适用于斜置式四探针对于微区的测试。点击此处进入【电子显微镜】【聚焦离子束】【四探针测试仪】和【椭偏仪】等专场,获取更多产品信息。更多资讯请扫描下方二维码,关注【材料说】
  • 中科飞测携首批设备椭偏膜厚量测仪入驻士兰集科
    p 首批设备进场!中科飞测椭偏膜厚量测仪正式搬入厦门士兰集科/pp 继士兰微电子12英寸特色工艺半导体芯片项目于5月10日宣布正式通电后,工艺设备也将开始陆续进场。5月20日,深圳中科飞测科技有限公司(以下简称“中科飞测”)椭偏膜厚量测仪作为首批设备,正式搬入厦门士兰集科微电子有限公司(以下简称“士兰集科”)。/pp 中科飞测是一家以在集成电路设备领域有多年经验的研发和管理团队为核心,自主研发和生产工业智能检测装备的高科技创新企业。同时,中科飞测也是目前国内唯一一家在Metrology(量测)和Inspection(缺陷检测)两大领域均在国内一线半导体制造厂商取得批量订单的半导体光学检测设备供应商。/pp 士兰集科由厦门半导体投资集团有限公司与杭州士兰微电子股份有限公司共同出资设立。根据规划,士兰集科将投资170亿元在厦门海沧建设两条12英寸特色工艺芯片生产线。/pp 2018年10月18日,士兰厦门12英寸特色工艺芯片生产线暨先进化合物半导体生产线在厦门海沧动工,这是国内首条12英寸特色工艺芯片制造生产线和下一代化合物生产线。2020年5月10日,士兰厦门12英寸特色工艺半导体芯片项目正式通电。随着该项目的正式通电,工艺设备将从5月中旬陆续进场,预计年底通线。/p
  • 雪迪龙:将批量投产PM2.5监测设备
    雪迪龙日前在深交所投资者关系互动平台上表示,公司有能力生产PM2.5监测设备,并且已有部分产品在试用,公司一直密切关注PM2.5的各项政策,并且已做好批量投产的准备。  雪迪龙的主营业务为分析仪器仪表、环境监测系统、工业过程分析系统的研发、生产、销售以及运营维护服务。
  • 韩国没有可批量检测商品的大型核辐射检测设备
    日本福岛核电站泄漏事故发生之后,各国对进口自日本的产品加大了检查和检测力度。不过,韩国媒体却报道说,韩国目前还没有可以批量检测商品的大型核辐射检测仪器。17日,从日本来韩的入境旅客在仁川机场一一走过检测仪,接受放射性核素污染检测。  韩联社首尔3月23日电 日本发生核放射性物质泄漏事件后,韩国大部分国民对日本进口产品的核辐射污染都感到忧虑。虽然机场还对进口农水产品和加工食品也进行抽样检测,但因韩国主要港湾和机场并没有具备核辐射检测设备,对原材料和工业产品等从日本进口的部分大宗商品因此尚不能进行核辐射检测。  目前,从日本来韩的入境旅客在仁川、金浦、济州机场接受核辐射检测,但并没有强制性。机场还对进口农水产品和加工食品也进行抽样检测。但因为我国主要港湾和机场并没有具备核辐射检测设备,对原材料和工业产品等从日本进口的部分大宗商品因此尚不能进行核辐射检测。  入境旅客、农水产品、邮件可用小型检测仪进行检测,但若要对所有进口产品进行核辐射检测,必须具备大型检测设备。因此有主张认为,目前被搁置在国会待批的《生活周边放射线安全管理法》(以下简称“核辐射法”)需要尽早获得通过。  《核辐射法》规定,在27个主要机场和港湾设置大型核辐射检测仪,对进口产品进行检测,具有重要意义。该法还规定,进口容易受到核辐射污染产品的个人和企业必须事先登记,并被要求在进出口过程中申报。此外,该法还提出在防范、事后处理等方面的具体标准。  目前该法案已在国会教育科学技术委员会被搁置了2年。  相关链接:  受日本核危机影响 核辐射检测仪器需求大增  日本强震 韩国“哄抢”核辐射测量仪
  • 国内首套超精密主动减振器面世:应用于高端电镜、量测/检测设备等
    7月10日,华中科技大学与光谷“明星”企业——武汉格蓝若智能技术股份有限公司签署成果转化合作协议,由后者出资8000万元,对华中科技大学陈学东院士团队超精密主动减振技术进行产业转化。据悉,陈学东院士团队20年磨一剑,创新性地研发了准零刚度、频变阻尼、协同控制等超精密主动减振核心技术,突破了降频率与保承载、减共振与抑高频、减振动与稳位姿三大技术矛盾,解决了高性能主动减振关键核心技术难题。先后荣获国家技术发明二等奖2次、国家科技进步二等奖1次。超精密主动减振器是高端制造装备、精密仪器设备的核心功能部件,是保证这些装备高精度超稳定运行的关键。产品应用于半导体高端制造设备、高精密机械加工车床、量测/检测设备、高端电子显微镜、科学仪器/设施、机载光电系统等领域。该产品不仅可以高效隔离外部振动,还通过实时采集振动信息,基于先进的控制策略生成多维振动控制信号,精准抑制各种内外部扰动导致的台体振动,实现被减振部件接近“绝对静止”的状态。与国外长期从事主动减振技术研发的企业相比,国内企业在该领域的技术积累较少,特别是超精密主动减振技术长期落后于国外企业。格蓝若和陈学东院士团队,一举突破了超精密主动减振器关键技术壁垒,打破国外垄断,实现国产自主可控。专门承载此技术成果的武汉格蓝若精密技术有限公司于6月25日正式挂牌成立,基于前期合作研发成果,公司推出超精密型、抗冲击型、适用真空型等20余款超精密主动减振器,减振支撑形式包括空气弹簧、金属弹簧、磁浮弹簧、复合弹簧等,可以满足从公斤级到数十吨级设备的高性能减振需求。在当日的活动上,格蓝若作为湖北省人形机器人整机技术攻关“链主”,还展示了人形机器人样机产品,该人形机器人主要面向劳动作业型场景,身高180cm,体重100kg,自由度31+2,移动速度>5km/h,负重能力>40kg,最大关节扭矩380Nm,具备高通用性、高机动性、高负载能力、具身智能等特点。
  • 大幅增长!多家半导体量检测设备公司发布2023财报
    根据 SEMI 数据统计,自 2020 年以来,中国大陆地区连续四年成为全球第一大半导体设备市场。而半导体检测和量测设备是半导体设备中重要的组成部分,对芯片良率的影响至关重要。并且随着芯片制程越来越先进、工艺变得更加复杂,行业发展对工艺控制水平提出了更高的要求,制造过程中检测设备与量测设备的需求量将倍增。根据 VLSI 数据统计, 2023 年全球半导体检测和量测设备市场规模达到 128.3 亿美元,有着巨大的市场规模。但是,全球检测和量测设备市场呈现国外设备企业垄断的格局,主要企业包括科磊半导体、应用材料、日立等,其中科磊半导体一家独大。 科磊半导体在检测与量测设备的合计市场份额占比达到了55.8%。全球前五大公司合计市场份额占比超过了84.1%,均来自美国和日本。中国大陆半导体检测与量测设备国产化率较低,量测检测设备更是除光刻机外,国产化率最低的一环核心设备,本土企业存在较大的国产化空间。并且随着近年来全球供应链的紧张和国际贸易摩擦,国内集成电路产业的发展受到了重大影响,国内社会各界对半导体设备国产化的重视程度不断提升。中科飞侧、精测电子、赛腾股份是国内有半导体量检测设备业务的重要上市公企业,他们的发展水平一定程度上反映了设备国产化替代的进程。因此,仪器信息网根据他们已发布的2023年财报,对这3家企业主营业务、半导体业务营收占比、净利润等方面整理分析,一窥国内半导体量检测设备行业发展现状,以飨读者。中科飞测专注于高端半导体质量控制领域,主要产品涵盖设备产品、智能软件产品和相关服务的全流程良率管理解决方案。其半导体量检测设备业务在主要产品涵盖设备产品、智能软件产品和相关服务的全流程良率管理解决方案。检测设备包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、明场纳米图形晶圆缺陷检测设备系列、暗场纳米图形晶圆缺陷检测设备系列;量测设备包括三角形貌量测设备系列、介质膜厚量测设备系列、金属膜厚测设备系列、套刻精度量测设备系列、光学关键尺寸量测设备系列、3D曲面玻璃量测设备系列。精测电子以显示为主要业务,半导体和新能源业务为重要支撑。在半导体测试领域,公司是国内半导体检测设备领域领军企业之一, 其在该领域的主营产品分为前道和后道测试设备,包括膜厚量测系统、 光学关键尺寸量测系统、电子束缺陷检测系统、半导体硅片应力测量设备、明场光学缺陷检测设备和自动检测设备(ATE)等。赛腾股份公司在消费电子、半导体、新能源等智能组装、检测、量测等方面具有较强的竞争优势和自主创新能力。在半导体领域,主要设备有如晶圆缺陷检测机、倒角粗糙度量测、晶圆字符检测机、晶圆激光打标机、晶圆激光开槽机等等。半导体量检测设备业务在三家企业还均有各自不同程度的发展占比,各个公司在半导体业务上倾斜的重心最直接的反应在营收上。中科飞测:专注半导体质量控制设备,净利润大幅增长财报显示,中科飞测2023年度和2022年度营业收入分别为8.91亿元、5.09亿元,2023年度营业收入较上期增幅为74.95%。其中半导体业务2023年营收8.51亿元,同比增长75.31%,占总营收的95.51%。细分来看,公司检测设备实现收入6.54亿元,同比增长70.15%,量测设备实现收入2.22亿元,同比增长88.56%。检测和量测设备均呈现处强劲的发展态势。中科飞测营收大幅增长的背后主要系以下多种因素的积极影响:一方面,得益于公司在关键核心技术、产业化推进和迭代升级各系列产品的过程中取得的重要成果,公司产品种类日趋丰富,市场竞争力持续增强,市场地位进一步巩固;另一方面,国内半导体检测与量测设备市场呈现高速发展,下游客户设备国产化需求迫切,公司凭借较强的技术创新能力、优异的产品品质以及出色的售后服务等积极因素,品牌认可度不断提升,客户群体覆盖度进一步扩大,客户订单量持续增长。中科飞测经营规模的快速增长,使得规模效应逐步凸显,公司在保持较高的研发投入水平情况下,盈利水平显著提升,归属于母公司所有者的净利润同比增长 1,072.38%。精测电子:显示业务业绩下滑,半导体业务迎来增长精测电子主要从事显示、半导体及新能源检测系统的研发、生产与销售。财报显示,精测电子2023年实现营业收入24.29亿元,同比下降11.03%;实现归属于上市公司股东的净利润1.5亿元,同比减少 44.79%。公司研发投入较上年同期增长7,024.23万元,加之公司的主营业务显示领域受行业周期性以及市场需求疲软等不利因素的影响,显示领域营业收入较上年同期下降幅度较大,以上多重因素的叠加时公司净利润双位数的下滑主要原因。但其占总营收16.26%的半导体业务在2023年营收3.95亿元,同比增长116.02%。这主要得益于半导体设备国产化替代进入重要机遇期。 同时,公司所处的半导体检测设备领域,特别是前道量测领域,生产线的国产设备供给率较低,公司的多款主力产品已得到诸多一线客户认可,并取得良好的市场口碑,订单快速增长。半导体业务已成为公司经营业绩的重要支撑。赛腾股份:消费电子贡献最大增幅,半导体业务有所下滑 赛腾股份作为一家专注于自动化设备领域的高新科技企业,在消费电子、半导体、新能源等智能组装、 检测、量测等方面提供相关设备产品以及技术服务。财报显示,在2023年度,公司营业收入 44.46亿元,同比增长51.76%;实现归属于母公司所有者权益净利润6.8,7亿元,同比增长123.72%。营业收入的增幅得益于公司在智能制造装备行业深耕多年,积累了较多优质客户,实现合作共赢,且当年度新增的客户带来了较大的销售额增长。细分业务来看,其营收占比达92.92%消费电子,实现了68.19%的营收增幅,是赛腾股份最大的营收增长点。而占5.94%的半导体业务营收下滑9.48%。营业成本缩减可能是造成营收下滑的原因之一。另外,三家公司横向对比,从整体营业收入来看,专注于半导体量测和检测设备的中科飞测收入增幅最明显,而另外两家则是受各自主营业务变化的影响,占比较少的半导体业务对整体影响也较小。而单从半导体业务方面来看,中科飞测和精测电子均有着显著增长,尤其精测电子,其增幅更是猛烈。这不仅是半导体设备蓬勃发展的生动写照,更是国内对高精度半导体量/检测设备需求日益强烈的有力证明。 经过不懈努力的技术研发与深厚经验积累,中国企业在膜厚测量、缺陷检测、关键尺寸测量等关键领域取得了显著的突破。数家国内企业凭借卓越的技术实力,成功跻身中芯国际、长江存储等业界巨头的量产产线,彰显了国产技术的强大实力。尽管目前在与海外巨头的比较中,国内企业在产品种类、工艺覆盖、算法软件、制程支持、核心零部件等方面还存在一定差距,但这一差距正在随着国内晶圆厂积极引入国产设备验证而逐渐缩小。我们有理由相信,国内量测设备企业正迎来技术追赶的黄金时期,业绩的快速增长指日可待,国产替代化的进程将全面加速,开启国产设备的新篇章。
  • 睿励科学仪器获1.6亿元融资,用于光学膜厚量测设备等研发
    近日,睿励科学仪器公司宣布完成一轮增资,直接融入现金超过1.6亿元,该轮增资由部分原有股东全部认购。本轮所融资金将主要用于光学膜厚量测设备和缺陷检测设备的产品迭代研发及新产品研发和生产投入。2019年以来,睿励重回快速发展轨道。公司膜厚测量、缺陷检测及光学关键尺寸测量等设备已为国内近20家前道半导体晶圆制造客户所采用,在不同的生产工艺产线上通过了大规模量产验证(累计数以亿计的晶圆跑片数),无论是设备稳定性还是快速响应解决问题的能力都得到了客户认可,在帮助产线提高生产效率,提升良率,降低设备拥有成本等方面成果显著。近两年推出的12吋膜厚量测设备TFX4000系列和应用于明暗场的12吋高精度光学缺陷检测设备WSD系列在相继交付国内重要客户后,快速通过验证并接连获得客户好评,已取得多台重复销售订单。在完成本轮融资后,睿励将继续深耕集成电路芯片生产工艺检测设备市场,进一步丰富光学量测和光学缺陷检测产品系列,在加速光学膜厚量测设备、OCD量测设备、光学缺陷检测设备等产品迭代更新的同时,将逐步开启其他光学类检测设备的开发和应用拓展,力争尽早达到国际厂商同类设备应用能力全覆盖,为早日实现集成电路前道工艺检测设备国产化全面替代的目标而努力奋斗。
  • 苏州矽视关键尺寸量测设备(CDSEM)首台套出机!
    2023年12月14日,苏州矽视科技有限公司首台关键尺寸量测设备(CDSEM)出机。苏州矽视科技表示,该设备为苏州矽视科技自主研发,其中最重要的电子光学系统具有自主的核心技术,完全摆脱进口,主要性能指标媲美国外同类产品,为国产替代再添新军。据了解,苏州矽视科技有限公司于2021年6月成立,专注于高端半导体晶圆量检测设备的研发、生产和服务,致力于自主研发具有完全自主知识产权、满足行业需求的国产电子束成像量检测设备。团队成员行业经验丰富,掌握核心技术及各种诀窍,技术水平达到国内领先水平。与传统行业不同,半导体行业技术门槛较高,电子束成像检测设备更是一种专精设备,技术复杂度高,该类设备国产化率极低,是卡脖子的高端制程控制设备。苏州矽视科技仅用了两年半的时间能完成设备研发并出机,足以证明其技术和团队实力强大。矽视科技表示,未来将不断跟踪国际最新技术趋势和市场需求,不失时机的在电子光学核心技术,电子束成像量测设备,缺陷检测设备等领域,不断扩大产品种类和系列,力争在核心技术及产品开发方面达到国内领先和国际先进的水平。矽视科技也将在国家半导体产业发展政策指导下,继续响应国家号召,发挥自身技术优势解决更多产业链关键问题,为中国半导体产业发展做出更大贡献。
  • 国内首台关键尺寸量测设备(CD-SEM)出机中芯国际
    近日,国内半导体制造良率管理设备厂商东方晶源在北京总部举行了国内首台关键尺寸量测设备(CD-SEM)出机仪式,正式宣布斩获订单并出机中芯国际。东方晶源董事长俞宗强博士、总经理蒋俊海先生,北京市经信委、亦庄管委会、国家02专项以及东方晶源客户、股东、投资方等代表出席了此次活动,共同见证了这一重要时刻。此次出机仪式,标志东方晶源继2019年攻克电子束缺陷检测技术后,再一次取得了重大产品技术突破,填补了国内关键尺寸量测设备(CD-SEM)的市场空白。据悉,此次出机的关键尺寸量测设备(型号:SEpA-c410)面向300mm硅片工艺制程,通过先进的电子束成像系统和高速硅片传输方案,搭配精准的量测算法,可实现高重复精度、高分辨率及高产能的关键尺寸量测。进驻中芯国际后,将通过实际产线验证,进一步提升、完善设备性能,向产业化目标整体迈进。中芯国际是世界领先的集成电路晶圆代工企业之一,也是中国内地技术最先进、配套最完善、规模最大的集成电路制造企业集团,提供0.35微米到14纳米不同技术节点的晶圆代工与技术服务,在先进制程探索、设备多元化方面等方面走在行业前列。东方晶源继首台电子束缺陷检测设备进驻中芯国际产线后,首台关键尺寸量测设备能够进入中芯国际12英寸产线验证,说明其研发实力已获得行业高度认可,是我国集成电路制造自主可控的重要组成。东方晶源自成立以来,专注于芯片制造关键环节的良率控制和提升领域。在北京市经信委、亦庄管委会、合作伙伴和投资方的共同支持下,不断取得重要产品技术突破,公司旗下计算光刻系列软件(OPC)、电子束缺陷检测设备(EBI)均已经过国际大厂产线验证并实现订单收入,在国产化设备领域取得了瞩目的成绩。此次出机,东方晶源在良率控制产品线添加了至关重要的一环,也解决了我国在芯片制造领域中又一项“卡脖子”难题。中芯国际与东方晶源作为各自领域的优秀代表,进一步加强了战略合作关系,是半导体产业链上下游产研与应用合作的典范。目前,半导体设备市场主要被国外巨头垄断,行业集中度很高,是我国半导体产业被“卡脖子”的关键所在,因此国产化替代对国家科技创新的意义重大。东方晶源俞宗强董事长表示:国产半导体设备的快速发展,除了国家政策、研发资金、人才培养等方面的大力投入,更需要晶圆代工厂的实际使用和验证完善。目前,东方晶源已经和国内大部分有市场影响力的晶圆代工企业建立了合作关系。未来,希望更多的晶圆代工企业对国产设备厂商保持更加开放的心态,为优质国产芯片设备供应商提供可以验证技术、实现产业化的平台,共同携手突破国外技术垄断,推动我国半导体产业链实现全面自主可控,配合国家实现在半导体领域的战略布局。
  • 东方晶源举行表彰大会,庆祝关键尺寸量测设备顺利出机
    近日,东方晶源举行百日会战战区总结表彰大会,对做出突出贡献的个人和团队给予嘉奖。本次表彰大会主要针对电子束装备战区和HPO软件战区,此次百日会战成功实现了关键尺寸量测设备(CD-SEM)的顺利出机、EBI和CD-SEM配套软件的研发升级和从0到1完成离线数据分析系统oDAS的研发。据了解,东方晶源微电子科技(北京)有限公司成立于2014年,总部位于北京亦庄经济技术开发区,是一家专注于集成电路良率管理的企业。今年7月份,东方晶源实现了国内首台关键尺寸量测设备(CD-SEM)出机中芯国际,标志东方晶源继2019年攻克电子束缺陷检测技术后,再一次取得了重大产品技术突破,填补了国内关键尺寸量测设备(CD-SEM)的市场空白。东方晶源的关键尺寸量测设备(型号:SEpA-c410)面向300mm硅片工艺制程,通过先进的电子束成像系统和高速硅片传输方案,搭配精准的量测算法,可实现高重复精度、高分辨率及高产能的关键尺寸量测。进驻中芯国际后,将通过实际产线验证,进一步提升、完善设备性能,向产业化目标整体迈进。而离线数据分析系统oDAS集数据的创建、传输、管理、分析和监控于一体,可实现Fab客户在工艺开发阶段对数据进行智能化管理和处理,是效率和良率提升的关键软件之一。
  • 投资10亿元!国产电镜新势力进军半导体量测设备,项目落地无锡
    4月1日,总投资10亿元的惠然科技半导体量测设备总部项目正式签约落地滨湖。区委书记孙海东与惠然科技有限公司董事长杨仁贵一行会谈,惠然科技有限公司副总裁刘航等出席活动。孙海东对项目的成功落地表示祝贺。他说,惠然科技有限公司技术储备雄厚、人才配备扎实,产品化经验丰富、科创实力强劲,自去年8月北京拜访接洽以来,双方合作密切、项目推进迅速。此次签约落地的半导体量测设备总部项目,让滨湖集成电路产业发展再添“生力军”,为滨湖乃至无锡深化集成电路产业链布局、健全集成电路产业“生态圈”注入新动能。希望双方能以此次签约为契机,深化交流、强化对接、紧密联动,推动合作走深走实,力促项目早建设、早投产、早见效。滨湖将一如既往不遗余力地做好项目支持、人才服务等各项保障工作,为公司发展创造最优环境。杨仁贵感谢滨湖各级各部门对公司发展的大力支持。他说,滨湖产业基础深厚、人才资源优质,好山好水之间科创活力迸发,是一片干事创业的热土。惠然科技坚持自主研发、深耕半导体产业,与滨湖产业发展、周边市场需求契合度高。相信在滨湖各级政府的大力支持下,惠然科技能充分发挥自身科技、人才优势,不断夯实核心技术攻关能力,推动半导体相关设备产品国产化,助力无锡滨湖集成电路产业高质量发展。惠然科技有限公司是一家以电子光学技术为核心,专注于扫描电子显微镜及半导体量测设备研发、生产和销售的高新技术企业。此次签约落地的项目包含公司总部、上市主体以及新设立的半导体量测设备研发生产基地。惠然科技自2016年成立以来,始终坚持技术主导创新,已经成为创新引领型企业,目前公司拥有国际化研发队伍规模百余人,并拥有许多自主知识产权。其中包括4项发明专利、15项实用新型专利、5项软件著作权,以及18项正在申请的发明专利等。2022年电子光学原型机验证成功,并于2023年7月顺利出机交付首代电镜产品FE-SEM整机“风”系列F6000;同年,与北京大学联合研发“聚焦离子束PFIB设备”。区别于逆向仿制和复制,惠然科技汇聚国内外专家及高级工程师,基于全自主正向研发,在科研和工业领域拥有丰富的工程化和产品化经验,具备根据应用需求差别进行定制化的核心能力,致力于打破科技封锁,期望努力成为电子光学领域科学仪器和工业级检测设备解决方案的领先企业!
  • 海口市粮食局粮油检测仪器设备公开招标公告
    海口市政府采购中心受海口市粮食局委托,对粮油检测仪器设备(HKGP2010-304)进行国内公开招标,竭诚邀请合格的供应商前来投标。  一、采购内容  1、项目名称: 粮油检测仪器设备  2、项目编号:HKGP2010-304  3、采购预算:980,480.00元  4、货物需求一览表:序号设备名称数量序号设备名称数量1旋风磨1台24稻谷脂肪酸值测定仪1台2降落数值仪1台25面包体积测定仪1台3面筋指数测定仪1套261/10电子天平1台4磁性金属检测仪1台271/100电子天平1台5智能白度仪1台281/1000电子天平1台6验粉筛1台291/10000电子天平2台7粗脂肪测定仪1台30原子吸收分光光度计1套8蛋白质测定仪1台31气相色谱1套9粗纤维测定仪1台32液相色谱1台10电动筛选器1台33电子拉伸仪1台11小型和面机1台34脂肪酸值专用振荡器1台12容重器2台35多功能电动扦样器1套13砻谷机2台36恒温恒湿培养箱1台14碾米机2台37中鼎式分样器(大号)1个15恒温鼓风干燥箱2台38中鼎式分样器(中号)1个16恒温水浴锅2台39中鼎式分样器(小号)1个17电热蒸馏水器1台40万用电炉2个18马弗炉1台41原粮包装扦样器6支19生物显微镜1台42成品粮包装扦样器6支20体视显微镜1台43架盘药物天平1台21小麦磨粉机1套44电冰箱2台22电子粉质仪1台45单层蒸锅1台23粮食水分测试磨1台46小型压面机1台  5、交货地点:海口市粮食局  6、交货期:合同签订后1个月内完成交货和安装  二、投标商资格要求  1、符合《政府采购法》第二十二条规定的条件   2、采购单位根据采购项目实际情况要求的特定资格条件:  2.1原子吸收分光光度计(火焰、石墨炉)、气相色谱仪及液相色谱仪这三样产品对制造厂商的要求:  2.1.1具有ISO9001质量管理体系认证、ISO14001环境管理体系认证   2.1.2投标人必须提供制造厂商针对该项目的授权书。  3、本项目接受联合体投标。  三、投标截止时间、开标时间及地点:  1、递交投标文件截止及开标时间:2011年3月17日上午9:30(北京时间)   2、递交投标文件及开标地点: 海口市政府采购中心开评标会议室(海口市滨海大道长滨路海口行政中心18号楼1013A室)   3、逾期送达的或者未送达指定地点的投标文件,采购代理机构不予受理。  四、采购文件获取办法  1、购买采购文件(含纸质文件和电子版文件)需提供以下资料:  1.1 投标人营业执照复印件(加盖单位公章)   1.2 法定代表人证明书或合法有效的授权委托书原件。  省外投标人可将购买采购文件所需资料传真到我中心,并电汇相应的价款至我中心账户(付款单位请注明投标单位名称,且在备注栏注明项目编号、名称)。经审核合格后,我们将邮寄采购文件。  2、采购文件出售时间:2011年2月22日至2011年3月8日下午17时(上午8:30-12:00,下午14:30-17:30,节假日除外)。  3、采购文件出售价格:每套人民币100元,邮购另加50元(售后不退)。  4、投标单位名称须与购买采购文件的单位名称一致,否则自行承担投标被拒绝的风险。  五、采购信息公告媒体  本项目采购信息指定发布媒体为中国政府采购网(http://www.ccgp.gov.cn/new/)和海南省人民政府网(http://www.hainan.gov.cn/code/V3/)。  六、邮购采购文件及提交投标保证金账户资料:  账 户 名:海口市政府采购中心  开 户 行:建行海口海甸支行  账 号:4600 1003 8360 5300 1617  采购代理机构名称:海口市政府采购中心  地 址:海口市滨海大道长滨路海口行政中心18号楼1010室  邮政编码:570000  项目联系人:何佳亮  E---mail:aimy800123@126.com  电 话:0898-68723992  购买采购文件联系人:孙洋子 伍海丹  电 话:0898-68723985  传 真:0898-68723984  二○一一年二月二十一日
  • 雪迪龙携重大专项设备汞监测系统亮相BCEIA 2015
    2015年10月27-30日,由科学技术部批准、中国分析测试协会主办的第十六届北京分析测试学术报告会暨展览会(简称BCEIA)在北京国家会议中心顺利召开。作为历经30年的国内分析测试领域专业化程度和知名度最高的盛会,举办370多场学术报告会和技术交流会。 本届BECIA首次设展中展——国家重大科学仪器设备开发专项阶段成果展区(简称成果展),北京雪迪龙科技股份有限公司携SCS-900Hg 烟气汞在线连续监测系统、AQMS-900Hg 大气汞在线监测系统、MODEL 2052 标准气发生器(2012年国家重大科学仪器设备开发专项)亮相成果展区。展会现场 本项目共申请相关专利共计16项,其中发明专利11项,实用新型5项。其中,雪迪龙自主研发的MODEL 1080Hg 汞在线分析仪可有效消除SO2、NOX等其他烟气组分对汞检测的干扰问题;MODEL 2052 汞标准气发生器可模拟发生出标定仪表所需要的单质态汞、离子态汞标准气;SCS-900Hg 烟气汞在线连续监测系统是国内首套用于固定污染源烟气汞排放的监测系统,其性能指标达到了国际同类产品的技术水平,广泛应用于燃煤火电厂、垃圾焚烧厂、冶金厂等固定污染源排放在线监测。 本次展出的三套设备现场运行良好,实时显示监测数据,吸引了国内外与会观众的极大兴趣与关注。
  • 采购市场一路亮“绿灯” 环境监测设备需求扩张
    p  从范围上来说,环境监测能够涉足的领域正在变得更宽广。所有需要进行污染监管的,都用得上环境监测设备,而能够提高检测效率的,都会被环境监测设备市场纳入采购清单。随着一个个大项目订单的落地,环保上市企业的成长,监测相关环保设备需求将加速释放。/pp  采购市场一路亮“绿灯” 环境监测设备需求扩张/pp  向公众开放环保设施的工作正在陆续安排中,环保部先后发布了城市污水垃圾处理设施开放名单,以及环境监测设施开放工作指南等文件。让污染看得见,让民众齐监督,开放环境监测设备有利于在全社会形成良好的生态保护氛围。/pp  正是由于环保“眼”、“耳”的重要性,所以环境监测设备近年来受到市场的广泛关注,一边项目大单不断,一边企业茁壮成长。/pp  就看环境监测总站哈界河水环境监测项目,曾经采购过一批国产环境监测设备,例如液相色谱、气相色谱仪、离子色谱仪等,涉及金额1100多万。随后不久,该项目就发布了第二批招标通知,采购分光光度计、光谱仪、有机分析仪等多款环保设备,预算近千万。/pp  地方环境监测设备需求量同样不小,很多区域规划建站,或改造监测中心,或更新检测设备。例如福建省2017年12月就刚刚公示,确定了总金额达1400多万的环境监测设施中标单位,预订了包括烟尘测试仪、烟气采样器、噪声分析仪、浊度计等在内的多款产品。/pp  哈尔滨2017年下半年也公开了大气超级站环境监测专用仪器设备招标公告,预计投入1800多万采购微波辐射计、大气颗粒物监测激光雷达等设备。由此也能看出,随着地方治污力度,科学规划的不断加强,环境监测设备需求进入了高速增长阶段。/pp  目前,环保行业在环境监测设备领域铺开布局的也不在少数,政府公开招标也给了很多相关企业扩张业务领域的机会。2017年11月,聚光科技中标云南6100多万的环境监测建设项目,建站设备囊括了多个污染物参数的自动监测仪、质控设备、动态校准仪等。/pp  除了这些常规的环境监测设备之外,现在高科技和大数据的影响也开始渗入环境监测领域。最典型的就是很多地方的污染巡查,动态检测用上了无人机,进一步扫清监测盲区。无人机不仅能实时传输影像,还能携带监测设备进行更宽领域的覆盖,实现多点动态采样。/pp  还有在人们印象中用来定位的雷达,青岛李沧分局最新引进3D可视激光雷达已经能够用来锁定污染源了。新环保执法“神器”能够更精确地找寻污染源头,提供空气质量信息,结合智能摄像和GIS电子地图技术,为污染控制提供有力的科技支撑。/pp  另外,环境监测设备还开始“入侵”家居领域,走进平民百姓的生活。从便携污染检测仪,到智能环境检测面板,科技让环保设备接驳社会生活的频率大幅提升。这类设备能够检测PM2.5,能够显示温度、湿度、甲醛、二氧化碳、甲烷、VOC等各项环境指标,甚至自动预警。/pp  针对人们关心的食品安全,环境监测“田园”模式正在启动,投身农业种植领域追踪植物健康成长。这类环境监测系统会联合物联网,通过核心传感器监测农作物动态,与土质检测、施肥应用系统等相联接,提供监测、预警和评估功能。/pp  简而言之,环境监测以环境保护为出发点,向周围辐射,渗透每一个相关领域,前景可观。以此为基础,环境监测设备应用场景也变得更加多样化,在专业度上也会进一步提高。/p
  • 科晓再推两套三聚氰胺实惠检测设备
    由于质检总局要求各地统一三聚氰胺检测方法和仪器,建议采用高效液相色谱法、高效液相色谱-质谱法、气相色谱-质谱法3种方法作为检测方法。其中,以高效液相色谱法为判定方法,当样品中三聚氰胺检测浓度有效超过检出限时,作为阳性结果报告,其他两种方法作为补充,参照判定方法报告。 科晓在众多三聚氰胺检测方法中结合质检总局精神与各厂商的特点。通过技术部和市场部的通力合作下,再次推出普及版和专业版版两套实惠检测方案。 方案一:三聚氰胺检测LC-100P套装(普及版)1 高压恒流泵LC-100P 1 2 紫外检测器LC-UV100 1 3 手动进样阀7725i 1 4 液相微量注射器50ul 1 5 C18液相色谱柱(250*4.6/5um) 1 6 WS-100色谱工作站 1 7 三聚氰胺试剂包 1备注:其他配件和样品请咨询科晓热线电话:0571-56803999 具体报价信息请参看公司网站:www.kexiao.com公司动态中优点概述:此套设备采用国产优秀的上海伍丰液相色谱仪器设备,在保证价格优惠的同时,提供了对三聚氰胺准确的定量及定性分析 方案二:三聚氰胺检测EX1600套装(专业版)1 EX1600HP双泵头并联泵 1 2 EX1600UV 氘灯 1 3 7725i手动进样阀 1 4 液相微量注射器50ul 1 5 柱温箱AT-330 1 6 C3N3(NH2)3专用柱 1 7 三聚氰胺试剂包 1 8 智能色谱管理系统 EX1600WSE 1 9 袖珍无油真空泵DP-01 1 10 超声波清洗器KQ-50B(2L,有网架) 1 11 氦吹仪BF-2000 1 12 高速台式离心机TGL-16C 1备注:其他配件和样品请咨询科晓热线电话:0571-56803999 具体报价信息请参看公司网站:www.kexiao.com公司动态中 优点概述:此套设备同样采用优秀的伍丰液相色谱仪器设备,EX1600具有高精度、高稳定性和丰富的配置选择,为三聚氰胺检测提供了灵活性与检测的准确定位。 科晓将继续关注三聚氰胺检测最新动态,力求将最新最好的检测方法提供给广大质检部门,厂家与相关实验学校参考。
  • 126.996万!梅特勒-托利多等中标珠宝玉石及贵金属检测鉴定实训室(贵金属含量检测设备)项目
    一、采购计划编号:440101-2022-00275  二、项目编号:440101-2022-00275  三、项目名称:珠宝玉石及贵金属检测鉴定实训室(贵金属含量检测设备)  四、采购结果  合同包1(珠宝玉石及贵金属检测鉴定实训室(贵金属含量检测设备)):供应商名称供应商地址中标(成交)金额深圳市海泰仪器设备有限公司上步南路国企大厦永富楼22E1,269,960.00元  五、主要标的信息  合同包1(珠宝玉石及贵金属检测鉴定实训室(贵金属含量检测设备)):  货物类品目号品目名称采购标的品牌规格型号数量(单位)单价(元)总价(元)1-1教学专用仪器百万分位天平梅特勒-托利多XPR21(套)238,310.00238,310.001-2教学专用仪器电位滴定仪瑞士万通Metrohm 888Titrando+814USB1(套)483,950.00483,950.001-3教学专用仪器灰吹炉卡博莱特CF24B1(套)398,380.00398,380.001-4教学专用仪器超纯水机默克化工技术Milli-Q EQ70001(套)149,320.00149,320.00
  • 积极响应国家政策,量准助力大规模仪器设备更新
    为响应国家关于推动高等教育和职业教育领域教学科研设备更新升级的战略举措,量准推出针对高校和职业院校需求的“现代生物化学医药实验室先进科研教学解决方案”。旨在全面提升教学实验的质量与科研创新能力,满足教学与科研活动对先进设备的需求,赋能设备迭代升级全进程。前沿科学仪器 -- WeSPR分子互作仪适用于各种生物分子间的相互作用研究,如蛋白-蛋白、蛋白-核酸、抗原-抗体等相互作用的定量和定性分析。配合专用软件和丰富的教学资源,使得科研人员能够在实际操作中理解并掌握分子识别、药物筛选方法等复杂生物过程中的相互作用机制。WeSPR 100/200 超高性价比 个人型SPR一机两用 SPR&ELISA精准高效,大幅节省实验时间结果稳定性高、重复性好、误差小强大的数据采集和控制软件,引导式操作更便捷WeSPR One/One Auto 可抛弃式微流控芯片可抛弃式微流控芯片,超低维护成本精准的流路和光路控制,双通道平行高精度测定数据图质量符合SCI论文发表标准设备操作简便易学,引导式软件设计WeSPR" HT8/96 无人值守、多块样本板连续检测三位一体设计,SPR&ELISA&移液站全自动化流程,10分钟内完成快速定量检测无管路结构设计,无需清洗无人值守、多块样本板连续检测实验辅助设备 -- 全自动化设备自动移液站 --整合智能控制与精准移液技术,提供高精度、高通量的自动化液体处理方案,能够显著提升实验效率,降低人工操作误差,同时减轻实验人员的劳动强度。单克隆微生物筛选工作站--简化传统挑菌流程,降低交叉污染风险,适用生物工程、微生物学等课程的教学实验环节,帮助培养学生的实验规范操作能力和科研创新能力。FP3000 自动移液工作站高通量,8-96孔灵活选择自动完成384孔板的加样8通道梯度稀释,实现样本的精准稀释实验自由化程序编程,满足多样化移液需求单克隆微生物QuickPick全自动工作站挑针缓冲设计,轻松应对培养基不平挑菌难题超高通量、超快成像采用定向吹风+HEPA过滤+紫外3级防污染策略开放式软件,支持菌落特征参数自定义筛选设置专属教学包提供配套试剂套装、专用控制软件及数据分析软件、丰富详尽的教学资料,全方位助力我国教育与医疗领域设备更新换代战略的落地实施。科研合作与项目申请支持协助学校对接科研项目申报,通过设立联合实验室、共享科研成果等方式,增强产学研一体化合作,提升学校的整体科研实力。定制化培训课程根据学校具体需求,定制与仪器设备相关的实验课程、教材及线上教育资源,将最新的科研实践融入日常教学,培养学生的实验技能和创新思维能力。课程设计支持协助教师设计基于新设备的实验教学大纲和实验项目,将前沿科研实践融入课堂教学,切实推动技术创新与知识传播的深度融合。售前/后服务与技术支持提供全程一对一的技术支持和定期维护保养服务,确保仪器性能稳定。
  • 卓海科技拟创业板IPO:募资5.47亿元投建半导体前道量检测设备等项目
    卓海科技作为国内重要的半导体前道量检测设备供应商,主要通过对退役设备的精准修复和产线适配来实现其再利用价值,为客户提供高稳定性、品类丰富的前道量检测修复设备,并通过配件供应及技术服务满足客户全方位需求。此外,公司也致力于前道量检测设备(如应力测量设备、四探针电阻测试仪等)及其关键配件(如激光器等)的自主研发。前道量检测设备市场需求迅速增长前道量检测设备作为贯穿晶圆制造全过程、不可或缺的质量控制设备,具备高精密度、结构复杂、品类众多的特点。在晶圆制造工艺代际更替过程中,终端应用的多样性使得不同制程的晶圆制造产线在较长时间内并存发展,相应推动了市场对不同制程前道量检测设备的多样化需求。根据 VLSI Research 数据,中国大陆前道量检测设备的市场规模由 2016 年度的 7.0 亿美元增长至2020年度的21.0亿美元,年复合增长率达到31.61%,呈现快速增长趋势;同时,中国大陆前道量检测设备市场规模占全球的比例由 2016 年度的 14.71%增长至 2020 年度的 27.45%,已成为全球最大的前道量检测设备市场。在汽车电子、消费电子等领域广泛应用的功率分立器件、MEMS、模拟芯片等主要使用成熟制程工艺,上述终端需求的快速增长推动了我国成熟制程晶圆制造产线建设的加快,市场对成熟制程前道量检测设备存在大量需求。但是,前道量检测设备市场高度集中,国际龙头企业(如合计市场份额达 75%的 KLA、AMAT、Hitachi)为满足晶圆制造工艺的迭代需求,专注于先进制程设备的研发及生产,逐步不再生产成熟制程设备,且前道量检测设备的国产化尚处于起步阶段(国产化率仅为 2%),使得修复设备成为我国产线迭代过程中成熟制程前道量检测设备的重要来源,产业规模快速增长。根据沙利文数据,2016 年度至 2020 年度,中国大陆前道量检测修复设备市场规模由 3.4 亿元增长至 24.4 亿元,年复合增长率达到 63.67%;并预计 2025 年度市场规模将达到 82.1 亿元,年复合增长率达到 28.09%,保持增长态势。卓海科技称,目前国内成熟前道量检测设备市场存在较大的供应缺口,公司修复设备有效缓解了成熟制程前道量检测设备供应紧张的局面,一定程度上支持了我国晶圆制造产业的稳定发展。前道量检测设备是由数千至上万个配件组成的高精密设备,需完成纳米级别的测量、检测工作,且结构复杂、品类众多,使得对退役设备的修复工作面临着潜在修复点繁多、问题个性化、精度及稳定性要求高、需求多样化等难点,对技术及经验要求较高。公司深耕前道量检测设备市场多年,建立并完善了包括故障设备精准修复、多尺寸晶圆量检测传输、多材质晶圆量检测定位技术在内的修复技术体系,实现了从 250nm 制程到 32nm 制程(多尺寸、多材质)修复工艺平台的持续升级,解决了“繁、特、精、稳、适”等修复难点,确保了修复设备的高精度、高稳定及产线适配性,有效满足了市场需求。2019-2021年(简称:报告期内),卓海科技营业收入分别为4,093.26万元、7,455.69万元、19,508.27万元,年复合增长率为118.31%,呈现快速增长趋势。随着业务规模扩大,公司盈利能力得到快速提高,报告期内,公司净利润分别为1,332.05万元、2,808.58万元、7,611.62万元,年复合增长率为139.04%。卓海科技表示,公司凭借涵盖修复设备及配件供应、技术服务的全方位方案解决能力,持续升级修复技术体系和工艺平台,形成了包括客户 A、客户 B、士兰微、客户 C、华虹半导体、华润微等在内的优质终端客户群,已成为国内重要的半导体前道量检测设备供应商。优质的客户资源为公司业绩的持续增长奠定了良好的市场基础。募资5.47亿元投建半导体前道量检测设备等项目招股书显示,卓海科技此次IPO拟募资5.47亿元,投建于半导体前道量检测设备扩产项目、研发中心建设项目以及补充流动资金。其中,半导体前道量检测设备扩产项目主要系通过增加净化间面积、招聘专业人才等,从而增加公司生产面积及生产人员数量,扩大现有产能,拓展覆盖的产品种类,为客户提供全面、优质的前道量检测设备解决方案。在汽车电子、消费电子等终端市场持续发展、全球半导体产业链向我国转移的背景下,我国晶圆制造产线仍处于扩张期,对成熟制程的前道量检测设备保持旺盛需求。根据 BCG 预测数据,2020 年至 2030 年间,全球晶圆代工厂产能复合增长率约为 4.6%,其中,中国大陆的晶圆代工产能增速最快,中国大陆的新增产能占比约为 30%,预计 2030 年中国大陆的晶圆代工产能的全球占比将达到24%,位居全球第一。作为市场的重要组成部分,前道量检测修复设备是满足下游成熟制程晶圆制造产线的重要选择,其市场规模未来仍具备较大的成长空间。根据沙利文数据,2021 年至 2025 年,中国大陆前道量检测修复设备市场规模仍将保持快速增长,年复合增长率 28.09%。卓海科技认为,持续繁荣的下游市场将为募投项目的实施提供了稳定的市场需求。关于公司战略规划,卓海科技表示,基于出色的技术体系、良好的创新机制和优质的客户基础,公司将继续以市场需求和技术发展趋势为导向,通过持续开展技术创新、加强专业人才培养、持续开拓市场、多样化融资等计划的开展,深化公司在前道量检测设备领域的全方位方案解决能力,致力于成为国内领先的前道量检测设备供应商。
  • 西派特亮相”国产检测仪器设备质量提升与应用推广暨实验室检测仪器设备分会年会“
    2017年12月28日,由中国出入境检验检疫协会、北京出入境检验检疫局主办,北京出入境检验检疫局检验检疫技术中心、国家大型检测仪器设备创新技术联盟协办的“国产检测仪器设备质量提升与应用推广暨实验室检测仪器设备分会年会”在京召开。承担验评项目的用户单位在会上介绍仪器验评工作情况,20余家参与项目的国产厂商齐齐出席,国产检测仪器验证评价为本次活动挑起大梁。 “大而不强”是我国仪器仪表产业长期面临的窘境之一。国产检测仪器验证与综合评价服务的开展,为企业制定标准、提高产品设计质量,扭转对国产仪器的偏见起到了促进作用,推动国产检测仪器设备实现从大到强转变。国产检测仪器验证评价服务明年起将全面推广至京津冀地区,相信国产仪器未来也能像中国制造一般,扬威世界。 本次会议,西派特(北京)科技有限公司研制的“ExR510 便携式激光拉曼光谱仪”入围评选,与会领导和现场专家对仪器给予高度评价与肯定。
  • 国产!惠然微电子全自主研发半导体关键尺寸量测设备CD-SEM出机
    2024年6月14日,惠然微电子顺利出机全自主研发的首台半导体关键尺寸量测设备(Critical Dimension Scanning Electron Microscope, 简称CD-SEM),标志着公司在半导体量检测领域取得了阶段性突破,为半导体量检测设备的国产化注入了新的活力。芯片制造需要上千道工序,其中光刻机、刻蚀机、薄膜沉积和量检测设备是半导体晶圆制造最关键的设备。目前,我国DUV、EUV光刻机和电子束量检测设备在半导体核心设备领域的自主可控度上,存在“高风险”和“难以覆盖”,惠然微电子正是在这个大趋势下应运而生,聚集国内外高精尖核心技术人才,拼搏努力,取得了阶段性的成果。CD-SEM是先进的全自动晶圆在线测量设备,它利用电子束扫描成像技术,主要在晶圆制造过程中实现关键工艺参数监控,应用于显影后光刻胶的临界尺寸测量以及刻蚀后接触孔直径/通孔直径和栅极线条宽度测量,是提高芯片制造良率、维持产品质量一致性的关键设备。惠然微电子掌握底层设计能力,在电子光学系统、图像处理算法、高速晶圆传输系统均为自主设计,为集成电路的多层化、复杂化提供重要的微观数据。惠然微电子表示,攻克“卡脖子工程”,需要众志成城,惠然微电子将与客户、供应商、合作伙伴共同努力,持续攻克电子束稳定性和分辨率、精确定位和控制、图像增强和分析以及提高测量速度等关键技术难题,将加快产品迭代,为集成电路产业提供更多高性能及可靠性的选择,为产业贡献自己一份力量。成立于2024年4月12日的惠然微电子总部位于无锡,基于自主的核心电子光学技术,为半导体产业提供高分辨、高能效的电子束量检测设备和科学仪器,拥有有效提升晶圆良率的软硬件全面解决方案。惠然微电子基于电子光学优势生产的半导体关键尺寸量测设备(Critical Dimension Scanning Electron Microscope, 简称CD-SEM)、缺陷检测设备(Electron-Beam Inspection, 简称EBI)是晶圆生产质量控制和良率保证的关键设备,为集成电路的多层化、复杂化提供重要微观数据;与此同时,公司推出的场发射扫描电子显微镜(SEM)在半导体领域涵盖原材料、设备、芯片设计、晶圆制造、封装测试、分立器件、终端产品的生产与研发过程中发挥重要作用。惠然微电子持续秉持“成为用户信赖的半导体量检测设备解决方案供应商”的愿景,践行“技术领先,服务至上,提升良率,为半导体产业提供卓越支持”的使命,紧跟国家半导体产业的战略布局,加大研发力度,不断创新和改进电子束量检测技术,加强产业链协同发展,共同推动行业的发展。
  • 高性能、低成本、智能化破解国产半导体量检测设备崛起之道——访东方晶源创新技术研究院院长孙伟强
    2024年3月20日至22日,备受瞩目的SEMICON China 2024在上海新国际博览中心隆重举行。作为全球规模最大、规格最高的半导体行业盛会,此次展会吸引了众多顶尖企业的参与。作为国内集成电路领域良率管理领军企业,东方晶源携产品矩阵亮相大会,向业界全面展现公司在电子束检测量测、芯片制造EDA工具等领域的新产品、新成果、新突破,彰显出以持续创新引领行业发展的技术实力和创新活力。此次展会上,东方晶源带来了新一代电子束量测和检测设备,包括缺陷复检设备DR-SEM、量测设备CD-SEM、缺陷检测设备EBI以及一款集良率数据、缺陷数据、量测数据收集分析于一体的良率管理系统YieldBook。此外,还带来了计算光刻软件产品——PanGen良率综合优化系统,该产品具有适用于成熟工艺节点的OPC优化功能,同时也是首款具有CPU+GPU混算构架的全芯片反向光刻(ILT)功能的掩模优化工具。展会期间,仪器信息网有幸采访到了东方晶源微电子科技(北京)股份有限公司创新技术研究院院长孙伟强,就东方晶源的主要产品、技术优势以及未来半导体行业的发展趋势等话题进行了深入的探讨和分享。以下是现场采访视频:
  • 119万!广东省特种设备检测研究院云浮检测院计划采购全自动微量水分测定仪、便携式pH计等仪器设备
    一、项目基本情况项目编号:440001-2022-36991项目名称:广东省特检院云浮检测院2022年度仪器设备采购项目采购方式:公开招标预算金额:1,192,840.00元采购需求:合同包1(广东省特检院云浮检测院2022年度仪器设备采购项目):合同包预算金额:1,192,840.00元品目号品目名称采购标的数量(单位)技术规格、参数及要求品目预算(元)最高限价(元)1-1其他仪器仪表PE管道定位测深检测仪1(台)详见采购文件300,000.00-1-2其他仪器仪表无线电梯钢带探伤仪1(台)详见采购文件188,900.00-1-3其他仪器仪表限速器校验台1(台)详见采购文件120,000.00-1-4其他仪器仪表无线多根钢丝绳探伤仪1(台)详见采购文件80,000.00-1-5其他仪器仪表场(厂)内机动车综合检测系统1(套)详见采购文件108,000.00-1-6其他仪器仪表便携式大厚度超声波测厚仪2(台)详见采购文件10,000.00-1-7其他仪器仪表全自动微量水分测定仪1(台)详见采购文件39,800.00-1-8其他仪器仪表数字瓶口滴定仪2(台)详见采购文件9,960.00-1-9其他仪器仪表便携式pH计1(台)详见采购文件4,980.00-1-10其他仪器仪表便携式电导率仪1(台)详见采购文件5,200.00-1-11其他仪器仪表直流电压梯度检测系统/密间隔管地电位检测仪1(套)详见采购文件295,000.00-1-12其他仪器仪表氧气检测仪3(台)详见采购文件6,000.00-1-13其他仪器仪表模拟试块4(套)详见采购文件15,200.00-1-14其他仪器仪表手持式热偶真空计1(台)详见采购文件9,800.00-本合同包不接受联合体投标合同履行期限:签订合同后30日历天内安装完毕;合同的质量保证期(简称“质保期”)为验收合格后 1 年,质保期自货物最终验收合格之日起算。二、申请人的资格要求:1.投标供应商应具备《政府采购法》第二十二条规定的条件,提供下列材料:1)具有独立承担民事责任的能力:在中华人民共和国境内注册的法人或其他组织或自然人, 投标(响应)时提交有效的营业执照(或事业法人登记证或身份证等相关证明) 副本复印件。分支机构投标的,须提供总公司和分公司营业执照副本复印件,总公司出具给分支机构的授权书。2)有依法缴纳税收和社会保障资金的良好记录:提供投标截止日前6个月内任意1个月依法缴纳税收和社会保障资金的相关材料。 如依法免税或不需要缴纳社会保障资金的, 提供相应证明材料。3)具有良好的商业信誉和健全的财务会计制度:供应商必须具有良好的商业信誉和健全的财务会计制度(提供2021年度财务状况报告(须含有利润表、资产负债表、现金流量表)或基本开户行出具的资信证明) 。4)履行合同所必需的设备和专业技术能力:按投标(响应)文件格式填报设备及专业技术能力情况。5)参加采购活动前3年内,在经营活动中没有重大违法记录:参照投标(报价)函相关承诺格式内容。 重大违法记录,是指供应商因违法经营受到刑事处罚或者责令停产停业、吊销许可证或者执照、较大数额罚款等行政处罚。(根据财库〔2022〕3号文,“较大数额罚款”认定为200万元以上的罚款,法律、行政法规以及国务院有关部门明确规定相关领域“较大数额罚款”标准高于200万元的,从其规定)2.落实政府采购政策需满足的资格要求:合同包1(广东省特检院云浮检测院2022年度仪器设备采购项目)落实政府采购政策需满足的资格要求如下:采购包整体专门面向中小企业。需要落实的政府采购政策:《政府采购促进中小企业发展管理办法》财库〔2020〕46号、《关于政府采购支持监狱企业发展有关问题的通知》(财库〔2014〕68号)、《关于促进残疾人就业政府采购政策的通知》(财库〔2017〕141号)、《关于环境标志产品政府采购实施的意见》(财库〔2006〕90号)、《节能产品政府采购实施意见》的通知(财库〔2004〕185号)、《关于调整优化节能产品、环境标志产品政府采购执行机制的通知》(财库〔2019〕9号)、《关于运用政府采购政策支持脱贫攻坚的通知》(财库〔2019〕27号)等。3.本项目的特定资格要求:合同包1(广东省特检院云浮检测院2022年度仪器设备采购项目)特定资格要求如下:(1)供应商未被列入“信用中国”网站(www.creditchina.gov.cn)“①失信被执行人;②重大税收违法失信主体;③政府采购严重违法失信行为记录名单”的记录名单。同时,不处于中国政府采购网(www.ccgp.gov.cn)“政府采购严重违法失信行为信息记录”中的禁止参加采购活动期间。 (以上要求在“信用中国”网站(www.creditchina.gov.cn)及中国政府采购网(www.ccgp.gov.cn)查询结果以响应供应商提供截图并加盖公章为准,如相关失信记录已失效,响应供应商需提供相关证明资料。查询有效期为自本项目采购公告发出之日起至递交响应文件截止时间止)(2)单位负责人为同一人或者存在直接控股、 管理关系的不同供应商,不得同时参加本采购项目(或采购包) 投标(响应)。 为本项目提供整体设计、 规范编制或者项目管理、 监理、 检测等服务的供应商, 不得再参与本项目投标(响应)。 投标(报价) 函相关承诺要求内容。(3)本项目不接受联合体投标。三、获取招标文件时间: 2022年08月16日 至 2022年08月22日 ,每天上午 00:00:00 至 12:00:00 ,下午 12:00:00 至 23:59:59 (北京时间,法定节假日除外)地点:广东省政府采购网https://gdgpo.czt.gd.gov.cn/方式:在线获取售价: 免费获取四、提交投标文件截止时间、开标时间和地点2022年09月05日 14时30分00秒 (北京时间)递交文件地点:广东省云浮市云城区金丰路6号8楼(泰宇招标)(即忆乡情电梯上8楼)开标地点:广东省云浮市云城区金丰路6号8楼(泰宇招标)(即忆乡情电梯上8楼)五、公告期限自本公告发布之日起5个工作日。六、其他补充事宜1.本项目采用电子系统进行招投标,请在投标前详细阅读供应商操作手册,手册获取网址:https://gdgpo.czt.gd.gov.cn/help/transaction/download.html。投标供应商在使用过程中遇到涉及系统使用的问题,可通过400-1832-999进行咨询或通过广东政府采购智慧云平台运维服务说明中提供的其他服务方式获取帮助。2.供应商参加本项目投标,需要提前办理CA和电子签章,办理方式和注意事项详见供应商操作手册与CA办理指南,指南获取地址:https://gdgpo.czt.gd.gov.cn/help/problem/。3.如需缴纳保证金,供应商可通过"广东政府采购智慧云平台金融服务中心"(http://gdgpo.czt.gd.gov.cn/zcdservice/zcd/guangdong/),申请办理投标(响应)担保函、保险(保证)保函。4.云平台操作过程中如有相关问题可通过广东省政府采购网(http://gdgpc.czt.gd.gov.cn)下载操作手册查询,或通过云平台公布的在线客服、QQ群、专线电话等方式咨询。5.本项目开标方式为现场电子开标,参与投标(响应)的供应商应当携带编制电子投标文件时加密所用CA-key以及装有备用电子版投标(响应)文件的U盘前往开标地点,进行投标文件解密。(温馨提示:开标前检测CA是否能正常使用)6.开标时间前30分钟(08:30)开始接收纸质版投标文件(仅供备用,以电子投标文件为准)。7.请投标/报价人按有关要求,在投标/报价截止时间前上传加密的电子投标/报价文件,未按要求上传的将视为自动放弃投标/报价。8.在开标/唱价截止时间前,请各投标/报价人核实并确认填写授权代表的姓名与手机号码,若因填写的授权代表信息有误而导致的不良后果,由供应商自行承担。9.请参加开标的各投标人严格按照中央、省市关于做好新型冠状病毒感染的肺炎(下文简称“新冠肺炎”)疫情防控部署相关的防控工作文件等相关通知严格执行。七、对本次招标提出询问,请按以下方式联系。1.采购人信息名 称:广东省特种设备检测研究院云浮检测院地 址:广东省云浮市云城区城北富善路联系方式:0766-89393782.采购代理机构信息名 称:云浮市泰宇招标采购有限公司地 址:广东省云浮市云城区金丰路6号8楼联系方式:0766-88619893.项目联系方式项目联系人:谢先生(采购人)、武先生(采购代理机构)电 话:0766-8939378(采购人)、0766-8861989/0766-8986918(采购代理机构)云浮市泰宇招标采购有限公司2022年08月15日
Instrument.com.cn Copyright©1999- 2023 ,All Rights Reserved版权所有,未经书面授权,页面内容不得以任何形式进行复制